Proceedings Volume 10386

Advances in X-Ray/EUV Optics and Components XII

cover
Proceedings Volume 10386

Advances in X-Ray/EUV Optics and Components XII

Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 15 November 2017
Contents: 9 Sessions, 18 Papers, 12 Presentations
Conference: SPIE Optical Engineering + Applications 2017
Volume Number: 10386

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 10386
  • Multilayers
  • Focusing
  • Mirrors
  • Beamlines and Facilities
  • Opto-Thermomechanics
  • Crystals
  • Gratings
  • Poster Session
Front Matter: Volume 10386
icon_mobile_dropdown
Front Matter: Volume 10386
This PDF file contains the front matter associated with SPIE Proceedings Volume 10386, including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.
Multilayers
icon_mobile_dropdown
Double multilayer monochromators for upgraded ESRF beamlines
Ch. Morawe, D. Carau, J.-Ch. Peffen
Recently, several upgraded ESRF beamlines have become operational. Some of them include Double Multilayer Monochromators (DMM) to reduce the heat load on downstream optics or to benefit from increased flux compared to crystal optics. In some cases the bandwidth of the DMM has to stay below 0.5%. Such multilayers require an elevated number of layers, materials with moderate electron density, and a coating uniformity close to 0.1%. These boundary conditions impose severe constraints on the performance of the deposition system. This work will highlight successful results and discuss persisting issues and potential approaches for technical improvements.
Laterally graded multilayer as x-ray mirror for the laser-induced plasma x-ray sources
Xianchao Cheng, Bozhong Tan, Jinming Cheng, et al.
The laser-induced plasma sources give instantaneous 4π divergent x-ray beams. The x-ray source size and pulse duration depend on the properties of the high-energy laser. Copper is the typical target material giving characteristic photon energies around 8.4keV. Different shapes of bent crystals are widely used as imaging and monochromatizing optics. Focusing and collimating are normally functioned by polycapillary x-ray lenses. Especially, the laterally-graded multilayers are applied as x-ray mirrors, which can reflect hard x-rays with big grazing angles, moderate energy resolution and high reflectivities. To get larger acceptance angel, a higher gradient of bilayer thicknesses from 2nm to 3.6nm within 80mm length scale is designed. And the alignment precision of 10μm is required to make it good performance. The reflected monochromatic x-rays can enhance the traverse coherence for the phase contrast imaging. And multi-frames of the same object can be obtained instantaneously by multi-reflections of the mirrors. The laterally-graded multilayer x-ray mirrors are also used for the pinhole imaging of a Z-pinch target, which benefits from the flat reflection surface and monochromatic imaging.
Fabrication and characterization of W/B4C lamellar multilayer grating and NbC/Si multilayer phase-shift reflector
P. C. Pradhan, S. Bhartiya, A. Singh, et al.
We present fabrication and structural analysis of two different multilayer grating structures. W/B4C based lamellar multilayer grating (LMG) was studied for high resolution monochomator application near soft x-ray region (~1.5 keV). Whereas NbC/Si based multilayer phase-shift reflector (MPR) was studied for high reflection at normal incidence near Si L-edge (~99 eV) and simultaneously to suppress the unwanted vacuum ultraviolet / infrared radiation. The grating patterns of different periods down to D = 10 micron were fabricated on Si substrates by using photolithography, and multilayers (MLs) of different periodicity (d = 10 to 2 nm) and number of layer pairs (15 to 100) were coated using sputtering techniques by optimizing the process parameters. The LMG and MPR samples are characterized by x-ray reflectivity (XRR) and atomic force microscopy (AFM) measurements. XRR results show successive higher order Bragg peaks that reveal a well-defined vertical periodic structure in LMG, MPR and ML structures. The lateral periodicity of the grating and depth of the rectangular groves were analyzed using AFM. The AFM results show good quality of lateral periodic structures in terms of groove profile. The effect of the process parameters on the microstructure (both on vertical and lateral patterns) of ML, LMG and MPR were analyzed.
Full size x-ray grating fabrication using large area nanoimprint
We developed a process for fabrication of ultra-precise blazed diffraction gratings for high resolution x-ray spectroscopy. The process based on nanofabrication techniques provides high fidelity for groove placement, high groove density, and perfect saw-tooth profile of grating grooves. A grating pattern is recorded on a quartz plate by use of e-beam lithography with a nanometer scale accuracy over the grating size. The pattern is transferred to a grating substrate by large area nanoimprint and then a hard Cr mask is formed via a lift-off process. Fidelity of the transfer step in terms of groove placement accuracy was investigated by differential wavefront interferometry. Anisotropic wet etch was applied to the patterned Si single crystal substrate to shape triangular grooves. Fabrication of a blazed grating of area 120 mm × 30 mm with groove density of 2000 lines/mm was demonstrated.
Focusing
icon_mobile_dropdown
Ultra-high-aspect multilayer zone plates for even higher x-ray energies
Markus Osterhoff, Jakob Soltau, Christian Eberl, et al.
Penetration lengths in the millimetre range make hard x-rays above 60 keV a well-suited tool for non-invasive probing of small specimens buried deep inside their surroundings, and enable studying individual components inside assembled, complex devices (solar cells, batteries etc.). The real-space resolution of typical imaging modalities like fluorescence mapping, scanning SAXS and WAXS depend on the available beam size. Although routine in the 5–25keV regime [1-4], spot sizes below 50nm are very challenging at x-ray energies above 50 keV: Compound refractive lenses lack in refractive power, the multilayer thickness of coated mirrors is bounded by interfacial diffusion, and lithographic Fresnel Zone Plates loose their efficiency in the two-digit keV regime. Multilayer Laue Lenses and Multilayer Zone Plates (MZP) are promising candidates for high-keV focusing to small spot sizes; compared to Fresnel Zone Plates, the aspect ratio comparing outermost layer width (~focal spot size) to optical thickness (efficiency) is virtually unlimited by the fabrication. Using Pulsed Laser Deposition on a rotating wire (several millimetre long), we have fabricated an MZP with 10nm outermost zone widths and optical thickness of 30 μm(optimum phase shift at 60 keV), yielding an unprecedented ultra-high aspect ratio of 1:3000 (outermost zone width compared to optical thickness). We present experimental results obtained at ESRF’s high energy beamline ID31, where for the first time scanning experiments with real-space resolutions below 50nm even at x-ray energies ranging from 60 keV to above 100 keV have been achieved.
Optical design of a sub-1-μm focusing system for soft x-ray free electron lasers
We present an optical design of a new focusing system for soft x-ray free electron lasers. The system is based on a two-staged focusing configuration that combines a Kirkpatrick-Baez focusing system with an ellipsoidal mirror so as to produce a sub-1-μm focal spot. A wave-optical simulation indicates that the power density at the focus exceeds 1018 W/cm2, which enables us to access exotic interactions between soft x-rays and matters.
Aberration correction for hard x-ray focusing at the nanoscale
Frank Seiboth, Andreas Schropp, Maria Scholz, et al.
We developed a corrective phase plate that enables the correction of residual aberration in reflective, diffractive, and refractive X-ray optics. The principle is demonstrated on a stack of beryllium compound refractive lenses with a numerical aperture of 0.49 10-3 at three synchrotron radiation and x-ray free-electron laser facilities, where we corrected spherical aberration of the optical system. The phase plate improved the Strehl ratio of the optics from 0.29(7) to 0.87(5), creating a diffraction-limited, large aperture, nanofocusing optics that is radiation resistant and very compact.
Refractive optics to compensate x-ray mirror shape-errors
David Laundy, Kawal Sawhney, Vishal Dhamgaye, et al.
Elliptically profiled mirrors operating at glancing angle are frequently used at X-ray synchrotron sources to focus X-rays into sub-micrometer sized spots. Mirror figure error, defined as the height difference function between the actual mirror surface and the ideal elliptical profile, causes a perturbation of the X-ray wavefront for X- rays reflecting from the mirror. This perturbation, when propagated to the focal plane results in an increase in the size of the focused beam. At Diamond Light Source we are developing refractive optics that can be used to locally cancel out the wavefront distortion caused by figure error from nano-focusing elliptical mirrors. These optics could be used to correct existing optical components on synchrotron radiation beamlines in order to give focused X-ray beam sizes approaching the theoretical diffraction limit. We present our latest results showing measurement of the X-ray wavefront error after reflection from X-ray mirrors and the translation of the measured wavefront into a design for refractive optical elements for correction of the X-ray wavefront. We show measurement of the focused beam with and without the corrective optics inserted showing reduction in the size of the focus resulting from the correction to the wavefront.
Mirrors
icon_mobile_dropdown
Development of concave-convex imaging mirror system for a compact and achromatic full-field x-ray microscope
Jumpei Yamada, Satoshi Matsuyama, Shuhei Yasuda, et al.
A full-field X-ray microscope utilizing advanced Kirkpatrick–Baez optics, which comprises four concave mirrors, provides high-resolution X-ray images without chromatic aberration. However, a large distance is required between the mirrors and the detector to obtain sufficiently high magnification factor. To achieve reduce this distance, this paper proposes a novel X-ray imaging mirror system consisting of two pairs of concave and convex mirrors, which enables the effective focal length to be decreased by shifting the principal surface. For developing the proposed optics, the mirrors were fabricated with an ion beam figuring system and stitching interferometer, developed by our group, with a peak-to-valley accuracy of ~2 nm. Analysis results indicate that the fabricated mirrors can achieve nearly diffraction-limited imaging performance. We report the mirror fabrication results and the characteristics of the fabricated mirrors.
Advances toward submicron resolution optics for x-ray instrumentation and applications
Mark Cordier, Benjamin Stripe, Wenbing Yun, et al.
Sigray’s axially symmetric x-ray optics enable advanced microanalytical capabilities for focusing x-rays to microns-scale to submicron spot sizes, which can potentially unlock many avenues for laboratory micro-analysis. The design of these optics allows submicron spot sizes even at low x-ray energies, enabling research into low atomic number elements and allows increased sensitivity of grazing incidence measurements and surface analysis. We will discuss advances made in the fabrication of these double paraboloidal mirror lenses designed for use in laboratory x-ray applications. We will additionally present results from as-built paraboloids, including surface figure error and focal spot size achieved to-date.
Development of precision Wolter mirrors for solar x-ray observations
High resolution imagery of the Sun's X-ray corona provides an essential clue in understanding dynamics and heating processes of plasma particles there. However, X-ray imagery of the Sun with sub-arcsecond resolution has so far never been conducted due to severe technical difficulty in fabricating precision Wolter mirrors. For future X-ray observations of the solar corona, we are attempting to realize precision Wolter mirrors with sub-arcsecond resolution by adopting advanced surface polish and metrology methods to sector mirrors which consist of a portion of an entire annulus, by direct polishing onto the mirror substrate. Based on the knowledge obtained through fabrication of the first (in 2013) and second (in 2014) engineering Wolter mirrors and subsequent evaluations on their X-ray focusing performance, the third engineering mirror was made in 2015−2016. The primary target of improvement over the second mirror was to suppress figure error amplitude especially for spatial frequencies around 1 mm-1 and to suppress the large astigmatism that was present in the second mirror, by introducing improved deterministic polish and smoothing on the precision mirror surfaces (32.5 mm × 10 mm in area for both parabola and hyperbola segments), as well as by careful characterization of the systematic error in the figure measurement system for the precision polish. Measurements on the focusing performance of thus-fabricated third Wolter mirror at SPring-8 synchrotron facility with 8 keV X-rays demonstrated that the mirror attained sub-arcsecond focusing performance with its HPD (half-power diameter) size reaching as small as ~0.2 arcsec for meridional focusing while ~0.1 arcsec for sagittal focusing. The meridional focusing achieved nearly diffraction limited performance (~0.12 arcsec FWHM for the PSF core). We also confirmed that the large astigmatism noted in the second mirror was correctly removed in the third mirror with the correction of the above-mentioned systematic error.
Beamlines and Facilities
icon_mobile_dropdown
Current status and future plan of the soft x-ray beamline at SACLA (Conference Presentation)
Shigeki Owada, Kyo Nakajima, Kensuke Tono, et al.
SACLA was inaugurated in March 2012 with two beamlines: BL3 for hard X-ray FEL and BL1 for wide range spontaneous emission. To enhance the research opportunities in soft X-ray region, the SCSS test accelerator, which was a prototype linac of SACLA and decommissioned in 2013, was upgraded, relocated to the SACLA undulator hall, and connected to BL1. The commissioning of this upgraded BL1 had been started from September in 2015, and user operation was started from June 2016. Currently, SASE-FEL pulses in the photon energy range of 20 to 150 eV are available and average pulse energy is about 70 μJ at 100 eV. We are developing beam diagnostic systems such as an arrival timing diagnostics between the SXFEL and the synchronized optical laser. We have further upgrade plans of the accelerator and the beamline. In this presentation, I will report the latest status and future upgrade plans of this beamline.
Opto-Thermomechanics
icon_mobile_dropdown
REAL cooled mirror for FEL application: FEA modelling and wavefront propagation simulation (Conference Presentation)
To preserve the full coherence of the FEL, the acceptance of the optics should be at least 2*FWHM of the X-ray beam. The LCLS-II soft X-ray experiments cover a photon energy range from 250 eV to 1300 eV. The photon beam footprint on the flat and KB mirrors varies from 150 mm to 1000 mm. The length of the mirror is chosen as 1 meter. Resistive Element Adjustable Length (REAL) cooling technique has been proposed to minimize the thermal deformation [1] for LCLS-II mirrors when the power FEL is above 200 W. The water cooling of the mirror is applied on the top-up-side [2]. The additional electric heater is adjustable both in length and power density to cope with the variable X-ray beam footprint length. A R&D project including the prototype of this REAL cooling technique is funded by DoE for FY2017 & FY2018. In this paper, we will present the modeling results of this REAL cooled prototype mirror. The two parameters of the electric heater (length and power density) are optimized for the thermal deformation minimization of the mirror Finite Element Analysis (FEA) with ANSYS. This optimization of two parameters within ANSYS is not straight forward and necessity large number of FEA calculations. SRW software is used for the wavefront propagation simulation to compare the performance of REAL cooled mirror with other frequently used cooling techniques. 1. Zhang L., Cocco D., Kelez N., Morton D.S., Srinivasan V. and Stefan P.M. - Optimizing X-ray mirror thermal performance using matched profile cooling, J. Synchrotron Rad. (2015). 22,1170–1181, doi: 10.1107/S1600577515013090 2. Zhang L. , Barrett R. , Friedrich K. , Glatzel P. , Mairs T. , Marion P. , Monaco G. , Morawe C. , Weng T. - Thermal distortion minimization by geometry optimization for water-cooled white beam mirror or multilayer optics, Journal of Physics : Conference Series 425, 052029-1-052029-4 (2013)
Finite element analysis for the Bragg crystal of D-line at SSRF (Conference Presentation)
Zhongmin Xu, Limin Jin, Xiangjun Wei, et al.
The Dynamic Beamline (D-line) is a combination of ED-XAS beamline and IR beamline. Among the D-line ED-XAS Branch, a Si (111) crystal with the thickness of 1 millimeter is used in Bragg geometry. The crystal has to be bent with a radius of curvature ranging from 2 to 20 m and receives heat load of 30 watts. To meet the need of dynamical focusing and heat cooling, the crystal is immersed in a water-cooled liquid eutectic In/Ga alloy bath. We perform bending and thermal analyses for the crystal, using Ansys software. The size of crystal is 300mm*20mm and it is held in the four-point bender system. The footprint on the crystal is 0.46mm*78. To reduce its deformation, an indirect water cooled method is adopted. The water film coefficient is 3000W/mm2C, and the reference temperature is 17 degree Celsius. The thermal conductivity for Si and In/Ga is 149 W/mC and 28 W/mC, respectively. After thermal analysis, the maximum temperature is about 48 degree Celsius. By mean of structural analysis, the deformation and stress distribution of the crystal were calculated as well. The RMS tangential slope error of the centerline on the footprint is 5μrad or so, which can meet the need of beamline.
Crystals
icon_mobile_dropdown
Application of MEMS-based x-ray optics as tuneable nanosecond choppers
Time-resolved synchrotron x-ray measurements often rely on using a mechanical chopper to isolate a set of x-ray pulses. We have started the development of micro electromechanical systems (MEMS)-based x-ray optics, as an alternate method to manipulate x-ray beams. In the application of x-ray pulse isolation, we recently achieved a pulse-picking time window of half a nanosecond, which is more than 100 times faster than mechanical choppers can achieve. The MEMS device consists of a comb-drive silicon micromirror, designed for efficiently diffracting an x-ray beam during oscillation. The MEMS devices were operated in Bragg geometry and their oscillation was synchronized to x-ray pulses, with a frequency matching subharmonics of the cycling frequency of x-ray pulses. The microscale structure of the silicon mirror in terms of the curvature and the quality of crystallinity ensures a narrow angular spread of the Bragg reflection. With the discussion of factors determining the diffractive time window, this report showed our approaches to narrow down the time window to half a nanosecond. The short diffractive time window will allow us to select single x-ray pulse out of a train of pulses from synchrotron radiation facilities.
Gratings
icon_mobile_dropdown
Single-order diffraction grating for soft x-ray: state of the art and perspective (Conference Presentation)
All conventional x-ray dispersive elements including multilayers, crystals and diffraction gratings provide multi-order diffraction spectra, which bring problems in spectroscopy application especially in the region of from VUV to soft x-ray region. The emergence of the so-called soft x-ray single-order diffraction grating (SXSDG) changed such a situation and may bring promised big benefits in lots of fields such as laser plasma diagnostics, x-ray astronomy, synchrotron radiation beam monochromatic; laser generated high harmonics and etc. In this presentation, the authors provid that the introduction of the concept of the so-called soft x-ray single-order diffraction grating, the evolution and development of such a new emergened dispersive elements, the state of the art and its application, the perspect and ets. A possible worldwide collaboration in future is also suggested.
Fabrication and test of quasiperiodic x-ray reflection gratings for high-order diffraction suppression (Conference Presentation)
Yilei Hua, Lina Shi, Hailiang Li, et al.
X-ray diffraction gratings with periodic structures have been widely used in various x-ray instruments and systems, such as synchrotron radiation, x-ray interferometer, x-ray astronomy and plasma diagnostics in the field of laser fusion. However, conventional diffraction gratings suffer from so-called high order diffraction contamination. Here we present a large-area quasiperiodic x-ray reflection grating fabricated by high-speed electron beam direct writing technique. The grating consists of a large number of circular holes for the high order diffraction suppression. The 3rd and even order diffractions can be completely eliminated, and the 5th order diffraction is as low as 0.02% of the 1st order diffraction. Shipley SAL-601 with high-resolution, high sensitivity and good resistance is used for electron beam lithography, followed by dry silicon etching and Au thin film deposition using magnetron sputtering. Since the surface roughness and flatness of the x-ray reflection gratings have a great impact on the dispersion performance, we optimized the fabrication the inductively coupled plasma (ICP) silicon etching process, and tested the surface roughness and flatness of the x-ray reflection gratings by an atomic force microscope and a Zygo interferometer, respectively. The optical characterization of the fabricated quasiperiodic x-ray reflection gratings was performed at the spectral radiation standard and metrology beamline BL08B, national synchrotron radiation laboratory of China. The test results demonstrated the effectiveness of high order diffraction suppression. The capability of high order diffraction suppression and fabrication constraints and the limitation of the diffraction efficiency of the quasiperiodic x-ray reflection gratings are also discussed. The unique high order diffraction suppression properties of the quasiperiodic x-ray reflection gratings may provide a platform for x-ray spectroscopic instruments in laboratory sciences and synchrotron light sources.
Nanofabrication of free-standing spectroscopic photon sieves operating in soft x-ray region
Xiaoli Zhu, Lai Wei, Hailiang Li, et al.
A novel design of X-ray spectroscopic photon sieves (SPS) was realized to eliminate the higher diffraction orders. SPS gratings consist of randomly distributed circular holes, forming an approximately sinusoidal transmission function. Due to the intensive absorption of soft X-rays in any known material, these gold nanoholes are free-standing without supporting membrane. For applications in soft X-ray region, a hybrid lithographic method was used to manufacture spectroscopic photon sieves (SPS) of 1000 lines/mm in high throughput. In the fabrication process, an electron beam was focused to write patterns on the membrane substrate to achieve a master mask. Using this mask XRL and gold electroplating were performed to efficiently replicate SPS structures. After that, UVL was used to define the supporting coarse frame. In the replication process of XRL, the deviation of circle patterns caused by overheating problem in exposure has been resolved by inserting appropriate filters in X-ray beam path. The spectrum of X-ray source for exposure can be restricted in the 1.0- 2.0 keV energy band. Therefore, less heat are produced in exposure due to less absorption of higher energy X-rays in resist. After the SPS has been finished, the diffraction pattern was achieved at the soft X-ray beam line on Beijing Synchrotron Radiation Facility. The calibration results show that higher-order diffraction orders were efficiently suppressed along the axis of symmetry.
Soft x-ray grating compressors for free-electron-laser pulses
We discuss the design of double plane grating compressors to be used for chirped pulse amplification on free-electron laser (FEL) ultrashort pulses at energies higher than 100 eV. In particular, the design of a grating compressor for wavelength emission centered at 10 nm is demonstrated. The XUV efficiency measurements in the 8-12 nm range of the gratings to be used in a double plane grating compressor realized for an upcoming experiment planned at FERMI is presented and discussed. The overall instrument efficiency is furthermore analyzed.
Poster Session
icon_mobile_dropdown
High-aspect ratio zone plate fabrication for hard x-ray nanoimaging
Karolis Parfeniukas, Stylianos Giakoumidis, Rabia Akan, et al.
We present our results in fabricating Fresnel zone plate optics for the NanoMAX beamline at the fourth-generation synchrotron radiation facility MAX IV, to be used in the energy range of 6–10 keV. The results and challenges of tungsten nanofabrication are discussed, and an alternative approach using metal-assisted chemical etching (MACE) of silicon is showcased. We successfully manufactured diffraction-limited zone plates in tungsten with 30 nm outermost zone width and an aspect ratio of 21:1. These optics were used for nanoimaging experiments at NanoMAX. However, we found it challenging to further improve resolution and diffraction efficiency using tungsten. High efficiency is desirable to fully utilize the advantage of increased coherence on the optics at MAX IV. Therefore, we started to investigate MACE of silicon for the nanofabrication of high-resolution and high-efficiency zone plates. The first type of structures we propose use the silicon directly as the phase-shifting material. We have achieved 6 μm deep dense vertical structures with 100 nm linewidth. The second type of optics use iridium as the phase material. The structures in the silicon substrate act as a mold for iridium coating via atomic layer deposition (ALD). A semi-dense pattern is used with line-to-space ratio of 1:3 for a so-called frequency-doubled zone plate. This way, it is possible to produce smaller structures with the tradeoff of the additional ALD step. We have fabricated 45 nm-wide and 3.6 μm-tall silicon/iridium structures.
Thickness uniformity study on the ESRF multilayer deposition system
Damien Carau, Jean-Christophe Peffen, Christian Morawe
The ESRF Multilayers Laboratory provides reflective optics in the X-ray domain using a deposition system where silicon substrates are coated with a well-defined multilayers stack. The multilayers period is used to tune the photon energy of the reflected X-ray beam. In some applications, the variation of the thickness profiles of the deposited materials must not exceed 0.1%. The aim of the study is to quantify the thickness uniformity of 1.2 m long single layer coatings with a spatial resolution of 10 mm or better. Results have been analyzed to identify potential sources of thickness variations. Investigations of long multilayers coatings complement this work.
Micromirror-based manipulation of synchrotron x-ray beams
Synchrotron beamlines typically use macroscopic, quasi-static optics to manipulate x-ray beams. We present the use of dynamic microelectromechanical systems-based optics (MEMS) to temporally modulate synchrotron x-ray beams. We demonstrate this concept using single-crystal torsional MEMS micromirrors oscillating at frequencies of 75 kHz. Such a MEMS micromirror, with lateral dimensions of a few hundred micrometers, can interact with x rays by operating in grazing-incidence reflection geometry; x rays are deflected only when an x-ray pulse is incident on the rotating micromirror under appropriate conditions, i.e., at an angle less than the critical angle for reflectivity. The time window for such deflections depends on the frequency and amplitude of the MEMS rotation. We demonstrate that reflection geometry can produce a time window of a few microseconds. We further demonstrate that MEMS optics can isolate x rays from a selected synchrotron bunch or group of bunches. With ray-trace simulations we explain the currently achievable time windows and suggest a path toward improvements.