Proceedings Volume 10143

Extreme Ultraviolet (EUV) Lithography VIII

cover
Proceedings Volume 10143

Extreme Ultraviolet (EUV) Lithography VIII

Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 18 May 2017
Contents: 19 Sessions, 76 Papers, 41 Presentations
Conference: SPIE Advanced Lithography 2017
Volume Number: 10143

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 10143
  • Keynote Session
  • EUV Materials I: Metal-Based EUV Resists: Joint Session with Conferences 10146 and 10143
  • EUV Materials II: Fundamentals I: Joint Session with Conferences 10146 and 10143
  • Integration
  • EUV Mask Inspection and Imaging: Joint Session with Conferences 10143 and 10145
  • EUV Optics and Pellicle
  • Resist Advances and Integration
  • Resist Modeling
  • Masks I
  • Masks II
  • Patterning I
  • Patterning II
  • Source
  • Posters: Inspection
  • Posters: Masks and Optics/Pellicle
  • Posters: Printing
  • Posters: Resist
  • Posters: Source
Front Matter: Volume 10143
icon_mobile_dropdown
Front Matter: Volume 10143
This PDF file contains the front matter associated with SPIE Proceedings Volume 10143, including the Title Page, Copyright information, Table of Contents, Introduction (if any), and Conference Committee listing.
Keynote Session
icon_mobile_dropdown
Progress in EUV lithography toward manufacturing
In this article the recent progress in the elements of EUV lithography is presented. Source power around 205W was demonstrated and further scaling up is going on, which is expected to be implemented in the field within 2017. Source availability keeps improving especially due to the introduction of new droplet generator but collector lifetime needs to be verified at each power level. Mask blank defect satisfied the HVM goal. Resist meets the requirements of development purposes and dose needs to be reduced further to satisfy the productivity demand. Pellicle, where both the high transmittance and long lifetime are demanded, needs improvements especially in pellicle membrane. Potential issues in high-NA EUV are discussed including resist, small DOF, stitching, mask infrastructure, whose solutions need to be prepared timely in addition to high-NA exposure tool to enable this technology.
EUV Materials I: Metal-Based EUV Resists: Joint Session with Conferences 10146 and 10143
icon_mobile_dropdown
Antimony photoresists for EUV lithography: mechanistic studies
Michael Murphy, Amrit Narasimhan, Steven Grzeskowiak, et al.
We have developed a method to study the photomechanism of our antimony carboxylate platform R3Sb(COOR')2. A series of mechanistic studies followed the production of reaction byproducts by mass spectrometer, as they left the film during exposure to EUV photons and 80 eV electrons. We identified several prominent outgassing fragments and their rates of production as a function of ligand structure. The degree of outgassing appears to be well-correlated with the bond dissociation energy of the carboxylate ligand R’ group. Furthermore, a deuterium labeling study was conducted to determine from which ligand hydrogen is abstracted to form benzene and phenol during exposure. Benzene and phenol were found to abstract hydrogen from opposing sites within the film, and with greater than 95% isotopic purity. Using the results of the outgassing studies alongside established mechanisms for electron-induced reactions; a series of reaction pathways were proposed to generate the aforementioned outgassing species and a possible nonvolatile negative-tone photoproduct.
Nanoparticle photoresist studies for EUV lithography
Kazuki Kasahara, Hong Xu, Vasiliki Kosma, et al.
EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.
Advanced development techniques for metal-based EUV resists
Jodi Hotalen, Michael Murphy, William Earley, et al.
Pure thin-films of unimolecular organometallic photoresists were lithographically evaluated using extreme ultraviolet light (EUV, λ = 13.5 nm) and developed using solutions containing carboxylic acids. Optimization of development solutions used with a cobalt-oxalate EUV resist (NP1, 2) led to a switch in lithographic tone from negative to positive. Additional optimization led to an improvement in top loss (35 to 7%) with development in cyclohexanone and 2-butanone, respectively. We saw a drastic improvement in photo-speed (Emax = 5 mJ/cm2) and contrast of the negative-tone imaging with development in certain acidic solutions. Additionally, carboxylic acid solutions provide excellent development conditions for resists that we, in the past, have been unable to successfully develop.
EUV Materials II: Fundamentals I: Joint Session with Conferences 10146 and 10143
icon_mobile_dropdown
Absorption coefficient and exposure kinetics of photoresists at EUV
Roberto Fallica, Jarich Haitjema, Lianjia Wu, et al.
The experimental measurement of the time-dependent absorption of photoresists at extreme ultraviolet wavelength is of great interest for the modeling of the lithographic process. So far, several technical challenges have made the accurate determination of the linear absorption coefficient and the Dill parameters nontrivial. In this work, we use a dedicated equipment and synchrotron light source to experimentally measure the transmittance of thin layers of photoresists on transparent silicon nitride membranes, and their thickness was measured with the spectroscopic ellipsometry. The absorption of negative tone photo-condensed metal oxide photoresists based on Sn cage structures, and of Zr and Hf oxoclusters was measured and compared to the estimated values. It was found that tin based materials absorb considerably more light than conventional chemically amplified resists based on organic polymer. Hafnium-based materials have about twice absorption, while zirconium based are basically comparable to organic resists. Furthermore, the exposure kinetics of several chemically amplified resists with varying photo-acid concentration and backbone polymer was studied. The rate of bleaching, described by the Dill parameter C, was measured and conclusions are drawn based on the specific resist formulation.
Correlation of experimentally measured atomic scale properties of EUV photoresist to modeling performance: an exploration
Yudhishthir Kandel, Jonathan Chandonait, Lawrence S. Melvin III, et al.
Extreme ultraviolet (EUV) lithography at 13.5 nm stands at the crossroads of next generation patterning technology for high volume manufacturing of integrated circuits. Photo resist models that form the part of overall pattern transform model for lithography play a vital role in supporting this effort. The physics and chemistry of these resists must be understood to enable the construction of accurate models for EUV Optical Proximity Correction (OPC). In this study, we explore the possibility of improving EUV photo-resist models by directly correlating the parameters obtained from experimentally measured atomic scale physical properties; namely, the effect of interaction of EUV photons with photo acid generators in standard chemically amplified EUV photoresist, and associated electron energy loss events. Atomic scale physical properties will be inferred from the measurements carried out in Electron Resist Interaction Chamber (ERIC). This study will use measured physical parameters to establish a relationship with lithographically important properties, such as line edge roughness and CD variation. The data gathered from these measurements is used to construct OPC models of the resist.
Integration
icon_mobile_dropdown
Enabling sub-10nm node lithography: presenting the NXE:3400B EUV scanner
Mark van de Kerkhof, Hans Jasper, Leon Levasier, et al.
With the introduction of its fifth-generation EUV scanner, the NXE:3400B, ASML has brought EUV to High-Volume Manufacturing for sub-10nm node lithography. This paper presents lithographic performance results obtained with the NXE:3400B, characterized by an NA of 0.33, a Pupil Fill Ratio (PFR) of 0.2 and throughput capability of 125 wafers per hour (or wph).

Advances in source power have enabled a further increase of tool productivity requiring an associated increase of stage scan speeds. To maximize the number of yielding die per day a stringent Overlay, Focus, and Critical Dimension (CD) control is required. Tight CD control at improved resolution is obtained through a number of innovations: the NXE:3400B features lower aberration levels and a revolutionary new illumination system, offering improved pupil-fill ratio and larger sigma range. Overlay and Focus are further improved by implementation of a new wafer clamp and improved scanner controls.

The NXE:3400B also offers full support for reticle pellicles.
Line-edge roughness performance targets for EUV lithography
Our paper will use stochastic simulations to explore how EUV pattern roughness can cause device failure through rare events, so-called "black swans". We examine the impact of stochastic noise on the yield of simple wiring patterns with 36nm pitch, corresponding to 7nm node logic, using a local Critical Dimension (CD)-based fail criteria Contact hole failures are examined in a similar way. For our nominal EUV process, local CD uniformity variation and local Pattern Placement Error variation was observed, but no pattern failures were seen in the modest (few thousand) number of features simulated. We degraded the image quality by incorporating Moving Standard Deviation (MSD) blurring to degrade the Image Log-Slope (ILS), and were able to find conditions where pattern failures were observed. We determined the Line Width Roughness (LWR) value as a function of the ILS. By use of an artificial "step function" image degraded by various MSD blur, we were able to extend the LWR vs ILS curve into regimes that might be available for future EUV imagery. As we decreased the image quality, we observed LWR grow and also began to see pattern failures. For high image quality, we saw CD distributions that were symmetrical and close to Gaussian in shape. Lower image quality caused CD distributions that were asymmetric, with "fat tails" on the low CD side (under-exposed) which were associated with pattern failures. Similar non-Gaussian CD distributions were associated with image conditions that caused missing contact holes, i.e. CD=0.
Mix-and-match considerations for EUV insertion in N7 HVM
An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.
The future of EUV lithography: enabling Moore's Law in the next decade
Alberto Pirati, Jan van Schoot, Kars Troost, et al.
While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore’s law throughout the next decade.

A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore’s law economical requirements, as well as the tight focus and overlay control needed for future process nodes.

The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts.

Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution.

This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.
SAQP and EUV block patterning of BEOL metal layers on IMEC's iN7 platform
Joost Bekaert, Paolo Di Lorenzo, Ming Mao, et al.
The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent M2 layer. With these pitches, the iN7 node is an ‘aggressive’ full-scaled N7, corresponding to IDM N7, or foundry N5.

Even in a 1D design style, single exposure of the 16 nm half-pitch M2 layer is very challenging for EUV lithography, because of its tight tip-to-tip configurations. Therefore, the industry is considering the hybrid use of ArFi-based SAQP combined with EUV Block as an alternative to EUV single exposure. As a consequence, the EUV Block layer may be one of the first layers to adopt EUV lithography in HVM.

In this paper, we report on the imec iN7 SAQP + Block litho performance and process integration, targeting the M2 patterning for a 7.5 track logic design. The Block layer is exposed on an ASML NXE:3300 EUV-scanner at imec, using optimized illumination conditions and state-of-the-art metal-containing negative tone resist (Inpria). Subsequently, the SAQP and block structures are characterized in a morphological study, assessing pattern fidelity and CD/EPE variability. The work is an experimental feasibility study of EUV insertion, for SAQP + Block M2 patterning on an industry-relevant N5 use-case.
Modeling EUVL patterning variability for metal layers in 5nm technology node and its effect on electrical resistance
In 5nm node, even minor process variation in extreme ultraviolet lithography (EUVL) can bring significant impact to the device performance. Except for the overlay and critical dimension uniformity (CDU), EUV specific effects, such as shadowing, three-dimensional mask effect (M3D), and stochastic effects, must also be understood in processing, modeling, and optical proximity correction (OPC). We simulate those variabilities using a calibrated model and compare it to what is observed on the wafer. The interconnect path of Metal1-Via1-Metal2 is studied by using a silicon-calibrated resistivity model to analyze the related overlap area and the electrical resistance. The approach allows us to quantify the impact of EUVL process by investigating the individual contribution of each patterning process variations.
EUV Mask Inspection and Imaging: Joint Session with Conferences 10143 and 10145
icon_mobile_dropdown
Actinic review of EUV masks: performance data and status of the AIMS EUV system
Dirk Hellweg, Markus Koch, Sascha Perlitz, et al.
The EUV mask infrastructure is of key importance for the successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks an actinic review of potential defect sites is required. ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium have developed such an EUV aerial image metrology system, the AIMS™ EUV, with the prototype tool regularly being used for customer measurement campaigns and the first system shipped to customer end of last year. In this paper, we provide an update on the system performance and present quantitative measurements of the impact of mask surface roughness on the aerial image. We show that an increasing amount of effects is only visible in actinic aerial imaging and discuss potential benefits of aerial image based mask qualification.
Printability and actinic AIMS review of programmed mask blank defects
Erik Verduijn, Pawitter Mangat, Obert Wood, et al.
We report on the printability, mitigation and actinic mask level review of programmed substrate blank pit and bump defects in a EUV lithography test mask. We show the wafer printing behavior of these defects exposed with an NXE:3300 EUV lithography scanner and the corresponding mask level actinic review using the AIMSTM tool. We will show which categories of these blank substrate defects print on wafer and how they can be mitigated by hiding these defects under absorber lines. Furthermore we show that actinic AIMSTM mask review images of these defects, in combination with a simple thresholded resist transfer model, can accurately predict their wafer printing profiles. We also compare mask level actinic AIMSTM to top down mask SEM review in their ability to detect these defects.
EUV Optics and Pellicle
icon_mobile_dropdown
Novel membrane solutions for the EUV pellicle: better or not?
A protective membrane – a pellicle – must be used to prevent yield loss during EUV lithography exposure, just as it was for 193nm lithography. The pellicle must be thin enough to transmit EUV light, yet strong enough to withstand the scanner environment. Membrane solutions for ~ 80W exposure exist. Our focus is developing a membrane solution for 250W exposure power. The main pellicle challenge here is still the identification of a membrane material that has very high transmission at EUV wavelengths. Additionally, absorption during lithographic exposure results in high thermal and mechanical load for the pellicle, which can cause yield problems. The current candidates for pellicle membranes such as poly-silicon and silicon nitride cannot withstand 250W power conditions, therefore alternative materials will be required for the future HVM pellicle.

At imec, a variety of novel membrane material options are investigated for the HVM pellicle application. One promising approach is based on carbon nanotubes (CNT). In this paper we outline different CNT based process options, and report results on their optical, thermal, and mechanical performance. In addition, we will report on their uniformity and robustness towards scanner application. Finally, the family of CNT-based membrane options will be compared to promising candidates fabricated using conventional film approaches that do not have a CNT layer.
Impact of tool design on defect detection sensitivity for EUV actinic blank inspection
Yow-Gwo Wang, Andy Neureuther, Patrick Naulleau
In this paper, we discuss the impact of various tool design perspectives on defect detection sensitivity for dark-field based EUV actinic blank inspection. We consider the impact from optical resolution (pixel size), EUV source type, and photon collection efficiency on critical defect SNR performance. The results show that as the pixel size approaches the target defect image size, defect SNR increases, and that pixel size also determines the dominant noise source in the inspection system. Moreover, the choice of the EUV source affects the optimal NA and illumination settings. For plasma-discharged sources, more photons provided by larger partial coherent illumination can improve the defect SNR, while coherent illumination is needed to get a higher defect SNR for synchrotron-based source. In the end, we show that simply increasing the photon collection efficiency by using high NA optics or increasing the source power cannot always improve the defect SNR. In a speckle noise dominated situation, larger outer NA includes more noise than defect signal, thus results in a lower SNR. The impact of source power also saturates at certain level as the system becomes speckle noise limited compared to photon noise limited.
High-NA metrology and sensing on Berkeley MET5
Ryan Miyakawa, Chris Anderson, Patrick Naulleau
In this paper we compare two non-interferometric wavefront sensors suitable for in-situ high-NA EUV optical testing. The first is the AIS sensor, which has been deployed in both inspection and exposure tools. AIS is a compact, optical test that directly measures a wavefront by probing various parts of the imaging optic pupil and measuring localized wavefront curvature. The second is an image-based technique that uses an iterative algorithm based on simulated annealing to reconstruct a wavefront based on matching aerial images through focus. In this technique, customized illumination is used to probe the pupil at specific points to optimize differences in aberration signatures.
Investigating surface structures by EUV scattering
Victor Soltwisch, Christian Laubis, Analía Fernández Herrero, et al.
As the industry continues to progress along the ITRS roadmap, not only the device dimensions shrink, but the architectures also increase in 3D complexity. Therefore, new metrology approaches for small structures are required. Small angle X-ray scattering has the potential for fast in line metrology but suffers from the large spot size needed in grazing incidence reflection and the low signal for the transmission geometry. Turning the incidence angle closer to normal and tuning the wavelengths into the EUV spectral range allows to decrease the spot size while keeping the signal high. We present an exploration of soft X-ray and EUV-scatterometry from grazing to near normal incidence, including a new approach in the design of metrology targets to avoid the footprint problem in grazing incidence geometry. Measurements were performed on e-beam written silicon gratings. The reconstructed geometrical line shape models are statistically validated by applying a Markov-Chain Monte Carlo sampling technique. Experimental data and simulation results provide a first insight into the potential of EUV Scatterometry.
Resist Advances and Integration
icon_mobile_dropdown
Improvements in resist performance towards EUV HVM
Oktay Yildirim , Elizabeth Buitrago, Rik Hoefnagels, et al.
Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.
Exploring the readiness of EUV photo materials for patterning advanced technology nodes
Danilo De Simone, Yannick Vesters, Atif Shehzad, et al.
Imec is currently driving the extreme ultraviolet (EUV) photo material development within the imec material and equipment supplier hub. EUV baseline processes using the ASML NXE3300 full field scanner have been setup for the critical layers of the imec N7 (iN7) BEOL process modules with a resist sensitivity of 35mJ/cm2, 40mJ/cm2 and 60mJ/cm2 for metal, block and vias layer, respectively. A feasibility study on higher sensitivity resists for HVM has been recently conducted looking at 16nm dense line-space at a targeted exposure dose of 20mJ/cm2. Such a study reveals that photoresist formulations with a cost-effective resist sensitivity are feasible today. Moreover, recent advances in enhanced underlayers are further offering novel development opportunities to increase the resist sensitivity. However, line width roughness (LWR) and pattern defectivity at nano scale are the major limiting factors of the lithographic process window and further efforts are needed to reach a HVM maturity level. We will present the results of the photo material screening and we examine in detail the lithography patterning results for the best performing photoresists. We further discuss the fundamental aspects of photo materials from a light-matter interaction standpoint looking at the photo emission yield at the EUV light for different photo materials towards a better understanding of the relation between photon efficiency and patterning performance. Finally, as metal containing resists are becoming part of the EUV material landscape, we also review the manufacturing aspects of a such class of resists looking at metal cross contamination pattern and defectivity on the process equipment.
State-of-the-art EUV materials and processes for the 7nm node and beyond
Elizabeth Buitrago, Marieke Meeuwissen, Oktay Yildirim, et al.
Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) being the most likely candidate to manufacture electronic devices for future technology nodes is to be introduced in high volume manufacturing (HVM) at the 7 nm logic node, at least at critical lithography levels. With this impending introduction, it is clear that excellent resist performance at ultra-high printing resolutions (below 20 nm line/space L/S) is ever more pressing. Nonetheless, EUVL has faced many technical challenges towards this paradigm shift to a new lithography wavelength platform. Since the inception of chemically amplified resists (CARs) they have been the base upon which state-of-the art photoresist technology has been developed from. Resist performance as measured in terms of printing resolution (R), line edge roughness (LER), sensitivity (D or exposure dose) and exposure latitude (EL) needs to be improved but there are well known trade-off relationships (LRS trade-off) among these parameters for CARs that hamper their simultaneous enhancement. Here, we present some of the most promising EUVL materials tested by EUV interference lithography (EUV-IL) with the aim of resolving features down to 11 nm half-pitch (HP), while focusing on resist performance at 16 and 13 nm HP as needed for the 7 and 5 nm node, respectively. EUV-IL has enabled the characterization and development of new resist materials before commercial EUV exposure tools become available and is therefore a powerful research and development tool. With EUV-IL, highresolution periodic images can be printed by the interference of two or more spatially coherent beams through a transmission-diffraction grating mask. For this reason, our experiments have been performed by EUV-IL at Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI). Having the opportunity to test hundreds of EUVL materials from vendors and research partners from all over the world, PSI is able to give a global update on some of the most promising materials tested.
High-volume manufacturing compatible dry development rinse process (DDRP): patterning and defectivity performance for EUVL
Safak Sayan, Pieter Vanelderen, Iulian Hetel, et al.
There are many knobs available that change the chemical and physical properties of the photoresists to "break" the RLS (Resolution, Sensitivity, Line edge/width roughness) trade-off, however those are not enough today to realize a material to satisfy all requirements at once for 7nm technology and beyond. DDRP improves the ultimate achievable resolution via pattern collapse mitigation, hence the priority of requirements for the EUV photoresist development may be changed with more focus on Sensitivity and LWR. This may potentially provide a new conceptual approach towards EUV PR development for DDRP applications. We have previously demonstrated pattern collapse (PC) mitigation via DDRP on different EUVL photoresists (including different resist platforms), achieving ultimate resolution and exposure latitude improvements [1,2]. In this contribution, we report patterning and material defect performance of HVM compatible (all aqueous) dry development rinse material. We will also report on process window improvement on 2-dimensional metal structures towards standard cell size reduction with elimination of mask layer(s) using single EUV exposure.
Sensitivity enhancement of the high-resolution xMT multi-trigger resist for EUV lithography
Carmen Popescu, Andreas Frommhold, Alexandra McClelland, et al.
Irresistible Materials is developing a new molecular resist system that demonstrates high-resolution capability based on the multi-trigger concept. A series of studies such as resist purification, developer choice,and enhanced resist crosslinking were conducted in order to optimize the performance of this material. The optimized conditions allowed patterning 14 nm half-pitch (hp) lines with a line width roughness (LWR) of 2.7 nm at the XIL beamline of the Swiss Light source. Furthermore it was possible to pattern 14 nm hp features with dose of 14 mJ/cm2 with an LWR of 4.9 nm. We have also begun to investigate the addition of high-Z additives to EUV photoresist as a means to increase sensitivity and modify secondary electron blur.
Resist Modeling
icon_mobile_dropdown
Mechanisms of EUV exposure: electrons and holes
Amrit Narasimhan, Steven Grzeskowiak, Christian Ackerman, et al.
In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Current EUV photoresists are composed of photoacid generators (PAGs) in polymer matrices. Secondary electrons (2 - 80 eV) created in resists during EUV exposure play large role in acid-production. There are several proposed mechanisms for electron-resist interactions: internal excitation, electron trapping, and hole-initiated chemistry.

Here, we will address two central questions in EUV resist research: (1) How many electrons are generated per EUV photon absorption? (2) By which mechanisms do these electrons interact and react with molecules in the resist? We will use this framework to evaluate the contributions of electron trapping and hole initiated chemistry to acid production in chemically amplified photoresists, with specific emphasis on the interdependence of these mechanisms. We will show measurements of acid yield from direct bulk electrolysis of PAGs and EUV exposures of PAGs in phenolic and nonphenolic polymers to narrow down the mechanistic possibilities in chemically amplified resists.
Novel EUV photoresist for sub-7nm node (Conference Presentation)
Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing.

We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.
Driving down defect density in composite EUV patterning film stacks
Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL.

In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.
Lithographic stochastics: Beyond 3sigma
As lithography tools continue their progress in both NA and wavelength in pursuit of Moore’s law, we have reached the point where the number of features printed in a single pass can now easily surpass 1 trillion. Statistically, then, one should not be surprised to see some members of such a population exhibit fluctuations as great as 7σ. But what do these fluctuations look like? We consider the problem in terms of variations in the effective local resist sensitivity caused by feature-to-feature differences in absorbed photons and resist component counts. We model such variations as a normal distribution, rather than the CDs themselves. As the CD vs. Dose curve is generally nonlinear over large ranges, the normal distribution of the local effective sensitivity then maps to a non-normal distribution in CD. For the case of individual vias printed near the resolution limit, this results in many more such undersized or completely closed vias than one would expect from a normal distribution of the CDs. We show examples of this behavior from both EUV exposures in the fab, and ebeam exposures in the lab. For the latter, results from a simple resist with a somewhat low quencher loading produce approximately the CD variation one would expect by modeling the quencher as distributed normally in the resist.
Masks I
icon_mobile_dropdown
Reducing EUV mask 3D effects by alternative metal absorbers
Vicky Philipsen, Kim Vu Luong, Laurent Souriau, et al.
Over the recent years EUV lithography has demonstrated the patterning of ever shrinking feature sizes (enabling the N7 technology node and below), while the EUV mask has remained unaltered using a 70nm Ta-based absorber. This has led to experimentally observed Mask 3D (M3D) effects at wafer level, which are induced by the interaction between the oblique incident EUV light and the patterned absorber with typical thickness values in the order of several wavelengths. In this paper we exploit the optical properties of the absorber material of the EUV mask as M3D mitigation strategy.

Using rigorous lithographic simulations, we screen potential single element absorber materials for their optical properties and their optimal thickness for minimum best focus variation through pitch at wafer level. In addition, the M3D mitigation by absorber material is evaluated by process window comparison of foundry N5 specific logic clips.

In order to validate the rigorous simulation predictions and to test the processing feasibility of the alternative absorber materials, we have selected the candidate single elements Nickel and Cobalt for an experimental evaluation on wafer substrates. In this work, we present the film characterization as well as first patterning tests of these single element candidate absorber materials.
N7 dark field two-bar in 0.33NA EUVL: Mitigation of CD Bossung tilts caused by strong coupling between the feature's primary and 1st self-image
T. Last, P. van Adrichem, L. de Winter, et al.
We report a study into intensity-driven mask 3D effects for N7 dark field two-bars in EUVL. For these features, traditional pupil optimization "rules" are advising to center a symmetric leaf shape illumination at the pupil plane location σY = (-0.64, 0.64). Experimentally determined critical dimension Bossungs for this exposure condition however yield an extreme best focus separation due to an additional Bossung tilt appearing at defocus values beyond 20 nm for the bottom trench. The Bossung tilts are caused by a strong coupling between the primary image of the two-bar and its first local pitch-induced self-image. The coupling to the self-image can be suppressed and, hence, the overlapping process window can be enhanced by the application of asymmetric sources, or by using standard dipole 90Y or leaf shape illuminations in combination with optimally placed sub-resolution assist features.
Investigation of alternate mask absorbers in EUV lithography
In order to succeed with such low-k1 lithography at EUV wavelength, we need to be able to print a grating at high contrast similar to ArF immersion tools, where a contrast exceeding 0.95 is achieved routinely. All 2d printing is composed of interference of x and y-directed diffraction orders and high contrast in 2d thus depends on such 1d grating contrast. Any low-k1 imaging will use either dipole or some other sort of extreme off-axis illumination such as cross-quad (cQuad). The two relevant magnitudes for any high contrast are the intrinsic contrast due to a monopole, and the spatial shift of the two images that are generated by the two monopoles making up the dipole exposure. In EUV with current absorbers, high contrast can currently only be achieved using monopole illumination, a technique that does not lend itself to process integration due to removal of wafer side telecentricity and resulting overlay problems at all but preferred pitch. For dipole illumination at low-k1 pitches, we collect only 0th order light and only one 1st diffracted order for each pole. This means that for a dipole at the resolution limit, the final image for horizontal l/s patterns consists of only four incident waves, one TE and one TM wave for each of the poles.

In this paper, we screen absorber by n and k values. In the process, we introduce phasor notation in order to gain insight into the behavior of the absorber and try to understand the metrics. We investigate intrinsic contrast and image blur due to monopole image shift.
Vote-taking for EUV lithography: a radical approach to mitigate mask defects
Timothy A. Brunner, Melih Ozlem, Geng Han, et al.
Vote-taking lithography sums up N mask images, each at 1/N dose, to mitigate the mask defects on each individual mask. The fundamental assumption is that the mask defects do not correlate in position from mask to mask, and so each individual defect will be blended with good images from the other N-1 masks. This paper will explore vote-taking for EUV lithography with both simulation and experimental results. PROLITH simulations will show the size of defects that can be healed for different N, the number of masks. SEM images of NXE 3300 exposures will be shown that are similar to those predicted from simulation. The implementation of vote-taking lithography for High Volume Manufacturing has huge practical and economic barriers. Some expose tool capabilities that could enable vote-taking lithography will be discussed. Besides defect mitigation, we briefly speculate on other possible imaging benefits opened up by voting with several exposure passes.
Reticle enhancement techniques toward iN7 metal2
The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent metal layers1. With these pitches, the iN7 node is an ‘aggressive’ full-scaled N7, corresponding to IDM N7, or foundry N5.

Regarding the metal 2 layer, imec is evaluating two integration approaches: EUV single print and SAQP+EUV Block. Extensive work is reported on both approaches2,3. The work detailed in this paper will deal about the computational work done prior to tape-out for the EUV direct print option.

We will discuss the EUV source mask optimization for an ASML NXE:3300 EUV scanner. Afterwards we will shortly touch upon OPC compact modeling and more extensively on OPC itself. Based on the current design rules and MRC, printability checks indicate that only limited process windows are obtained. We propose ways to improve the printability through MRC and design. Applying those changes can potentially lead to a sufficient process window.
Masks II
icon_mobile_dropdown
Considerations for pattern placement error correction toward 5nm node
Multi-patterning has been adopted widely in high volume manufacturing as 193 immersion extension, and it becomes realistic solution of nano-order scaling. In fact, it must be key technology on single directional (1D) layout design [1] for logic devise and it becomes a major option for further scaling technique in SAQP. The requirement for patterning fidelity control is getting savior more and more, stochastic fluctuation as well as LER (Line edge roughness) has to be micro-scopic observation aria.

In our previous work, such atomic order controllability was viable in complemented technique with etching and deposition [2]. Overlay issue form major potion in yield management, therefore, entire solution is needed keenly including alignment accuracy on scanner and detectability on overlay measurement instruments. As EPE (Edge placement error) was defined as the gap between design pattern and contouring of actual pattern edge, pattern registration in single process level must be considerable. The complementary patterning to fabricate 1D layout actually mitigates any process restrictions, however, multiple process step, symbolized as LELE with 193-i, is burden to yield management and affordability. Recent progress of EUV technology is remarkable, and it is major potential solution for such complicated technical issues. EUV has robust resolution limit and it must be definitely strong scaling driver for process simplification. On the other hand, its stochastic variation such like shot noise due to light source power must be resolved with any additional complemented technique.

In this work, we examined the nano-order CD and profile control on EUV resist pattern and would introduce excellent accomplishments.
Enabling full field physics based OPC via dynamic model generation
Michael Lam, Chris Clifford, Ananthan Raghunathan, et al.
As EUV lithography marches closer to reality for high volume production, its peculiar modeling challenges related to both inter- and intra- field effects has necessitated building OPC infrastructure that operates with field position dependency. Previous state of the art approaches to modeling field dependency used piecewise constant models where static input models are assigned to specific x/y-positions within the field. OPC and simulation could assign the proper static model based on simulation-level placement. However, in the realm of 7nm and 5nm feature sizes, small discontinuities in OPC from piecewise constant model changes can cause unacceptable levels of EPE errors. The introduction of Dynamic Model Generation (DMG) can be shown to effectively avoid these dislocations by providing unique mask and optical models per simulation region, allowing a near continuum of models through field. DMG allows unique models for EMF, apodization, aberrations, etc to vary through the entire field and provides a capability to precisely and accurately model systematic field signatures.
Rigorous 3D electromagnetic simulation of ultrahigh efficiency EUV contact-hole printing with chromeless phase shift mask
Contact-hole layer patterning is expected to be one of the first applications for EUV lithography. Conventional absorber masks, however, are extremely inefficient for these layers, placing even more burden on the already challenging source power demands. To address this concern, a chromeless checker-board phase-shift mask for 25- nm dense contacts has been shown to provide a throughput gain of 8x based on characterization with the SHARP EUV microscope and 7x based on micro field patterning with the Berkeley MET. These promising experimental results warrant both assessment for implementation in practice and rigorous simulations for diagnosing 3D mask effects. In this paper we verify the theoretical benefits of phase-shift masks over traditional absorber masks in idealized Kirchhoff analysis, explore the sensitivity of patterning to deviations from the ideal scattered orders, model the etched multilayer using thin-film characteristic matrix analysis, and finally use rigorous 3D Finite-Time Time Domain (FTTD) simulations of etched multilayer masks to explore mitigation of 3D effects to achieve optimal mask designs for minimum-pitch line-space and contact array patterns.
Patterning I
icon_mobile_dropdown
Single exposure EUV patterning of BEOL metal layers on the IMEC iN7 platform
This paper summarizes findings on the iN7 platform (foundry N5 equivalent) for single exposure EUV (SE EUV) of M1 and M2 BEOL layers. Logic structures within these layers have been measured after litho and after etch, and variability was characterized both with conventional CD-SEM measurements as well as Hitachi contouring method. After analyzing the patterning of these layers, the impact of variability on potential interconnect reliability was studied by using MonteCarlo and process emulation simulations to determine if current litho/etch performance would meet success criteria for the given platform design rules.
Integrated approach to improving local CD uniformity in EUV patterning
Andrew Liang, Jan Hermans, Timothy Tran, et al.
Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies.

Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development.

Illumination conditions in EUV lithography were optimized to improve normalized image log slope (NILS), which is expected to reduce shot noise related effects. It can be seen that the EUV imaging contrast improvement can further reduce post-develop LCDU from 4.1 nm to 3.9 nm and from 2.8 nm to 2.6 nm. In parallel, etch processes were developed to further reduce LCDU, to control CD, and to transfer these improvements into the final target substrate. We also demonstrate that increasing post-develop CD through dose adjustment can enhance the LCDU reduction from etch. Similar trends were also observed in different pitches down to 40 nm. The solutions demonstrated here are critical to the introduction of EUV lithography in high volume manufacturing. It can be seen that through a synergistic deposition, lithography, and etch optimization, LCDU at a 40 nm pitch can be improved to 1.6 nm (3-sigma) in a target oxide layer and to 1.4 nm (3-sigma) at the photoresist layer.
Comprehensive analysis of line-edge and line-width roughness for EUV lithography
Pattern transfer fidelity is always a major challenge for any lithography process and needs continuous improvement. Lithographic processes in semiconductor industry are primarily driven by optical imaging on photosensitive polymeric material (resists). Quality of pattern transfer can be assessed by quantifying multiple parameters such as, feature size uniformity (CD), placement, roughness, sidewall angles etc. Roughness in features primarily corresponds to variation of line edge or line width and has gained considerable significance, particularly due to shrinking feature sizes and variations of features in the same order. This has caused downstream processes (Etch (RIE), Chemical Mechanical Polish (CMP) etc.) to reconsider respective tolerance levels. A very important aspect of this work is relevance of roughness metrology from pattern formation at resist to subsequent processes, particularly electrical validity. A major drawback of current LER/LWR metric (sigma) is its lack of relevance across multiple downstream processes which effects material selection at various unit processes. In this work we present a comprehensive assessment of Line Edge and Line Width Roughness at multiple lithographic transfer processes. To simulate effect of roughness a pattern was designed with periodic jogs on the edges of lines with varying amplitudes and frequencies. There are numerous methodologies proposed to analyze roughness and in this work we apply them to programmed roughness structures to assess each technique’s sensitivity. This work also aims to identify a relevant methodology to quantify roughness with relevance across downstream processes.
Study on restricting factors of practical k1 limit in 0.33NA EUV lithography
As we presented in the last conference, it is much difficult to get down the k1 limit of EUV lithography compared to that of optical lithography especially recent immersion lithography. Even though current 0.33NA NXE3300 tool has enhanced aberration characteristics and variable illumination mode than its predecessor, ADT and NXE3100, still there are limitations related with resolution capability of EUV lithography. First of all, photon shot noise and immature resist performances play an important role in patterning of very fine patterns. As already known, low sensitivity resists have been widely used to reduce shot noise. However, when considering productivity in EUV lithography, high sensitivity resists are inevitable, so it is necessary to increase image contrast by reducing scanner blur like aberration, M3D, stray light et al. We have investigated the impact of aberration and limitation in illumination pupil fill ratio in EUV. In particular, the aberration sensitivity is different by the illumination conditions, this was intensified when using the particular pupil. Because the lens calibration is conducted with standard illumination condition in NXE3300, it is necessary to consider different aberration sensitivity in accordance with pattern and used pupil condition in EUV lithography. To ensure the process margin of tech node close to limit, a flexpupil with low pupil fill ratio (PFR) than 0.2 were required. Hence in order to avoid through-put loss at this condition, the new concept of the illuminator design is required without light loss. Contamination of collector mirror can affect the patterning also. We will also report about the patterning effect of pupil deformation by degraded collector in low PFR condition.
New methodologies for lower-K1 EUV OPC and RET optimization
Kevin Hooker, Aram Kazarian, Xibin Zhou, et al.
EUV lithography is viewed as a highly desirable technology for 5nm and 7nm node patterning cost reduction and process simplicity. However, for the 5nm and 7nm nodes EUV not only needs to function in a low-K1 resolution environment but has several new and complex patterning issues which will need accurate compensation by mask synthesis tools and flows. The main new issues are: long-range flare variation across the chip, feature dependent focus offsets due to high mask topography, asymmetry inducing shadowing effects which vary across the lens slit, significantly higher lens aberrations, illumination source changes (across the lens and with time) and new resist exposure mechanisms. These solutions must be successfully deployed at low K1 values and must be integrated together to create OPC/RET flows which have high resolution, high accuracy, and are fast to deploy. Therefore, the combined requirements of low-K1 resolution, full reticle correction accuracy and process window can be even more challenging than in current optical lithography mask synthesis flows.

Advanced computational methods such as ILT and model-based SRAF optimization are well known to have considerable benefits in process window and resolution for low-K1 193 lithography. However, these methods have not been well studied to understand their benefits for lower-K1 EUV lithography where fabs must push EUV resolution, 2D accuracy and process window to their limits. In this paper, we investigate where inverse lithography methods can improve EUV patterning weaknesses vs. traditional OPC/RET. We first show how ILT can be used to guide a better understanding of optimal solutions for EUV mask synthesis. We then provide detailed comparisons of ILT and traditional methods on a wide range of mask synthesis applications.
Ultrathin EUV patterning stack using polymer brush as an adhesion promotion layer
Initial readiness of EUV patterning has been demonstrated at the 7-nm device node with the focus now shifting to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. In current EUV lithography, photoresist thicknesses <30 nm are required to meet resolution targets and mitigate pattern collapse. Etch budgets necessitate the reduction of underlayer thickness as well. Typical spin-on underlayers show high defectivity when reducing thickness to match thinner resist. Inorganic deposited underlayers are lower in defectivity and can potentially enable ultrathin EUV patterning stacks. However, poor resist-inorganic underlayer adhesion severely limits their use. Existing adhesion promotion techniques are found to be either ineffective or negatively affect the etch budget. Here, using a grafted polymer brush adhesion layer we demonstrate an ultrathin EUV patterning stack comprised of inorganic underlayer, polymer brush and resist. We show printing of sub-36 nm pitch features with good lithography process window and low defectivity on various inorganic substrates, with significant improvement over existing adhesion promotion techniques. We systematically study the effect of brush composition, molecular weight and deposition time/temperature to optimize grafting and adhesion. We also show process feasibility and extendibility through pattern transfer from the resist into typical back end stacks.
Patterning II
icon_mobile_dropdown
Compact 2D OPC modeling of a metal oxide EUV resist for a 7nm node BEOL layer
Adam Lyons, David Rio, Sook Lee, et al.
Inpria has developed a directly patternable metal oxide hard-mask as a high-resolution photoresist for EUV lithography1. In this contribution, we describe a Tachyon 2D OPC full-chip model for an Inpria resist as applied to an N7 BEOL block mask application.
Minimizing wafer overlay errors due to EUV mask non-flatness and thickness variations for N7 production
Wafer overlay errors due to EUV mask non-flatness and thickness variations need to be minimized for the successful deployment of EUV lithography at N7 HVM. In this paper, we provide an updated assessment of the overlay impacts from EUV mask blanks as relevant to N7. We then evaluate the effectiveness of high-order scanner correction and mask compensation in minimizing the mask blank induced overlay to meet the allocated N7 overlay budget. Various scenarios for combining the compensation methods are evaluated, and a practical EUV mask flatness and thickness variation specification for N7 production is proposed.
Single-expose patterning development for EUV lithography
Initial readiness of EUV (extreme ultraviolet) patterning was demonstrated in 2016 with IBM Alliance's 7nm device technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. With the substantial cost of EUV exposure there is significant interest in extending the capability to do single exposure patterning with EUV. To enable this, emphasis must be placed on the aspect ratios, adhesion, defectivity reduction, etch selectivity, and imaging control of the whole patterning process. Innovations in resist materials and processes must be included to realize the full entitlement of EUV lithography at 0.33NA. In addition, enhancements in the patterning process to enable good defectivity, lithographic process window, and post etch pattern fidelity are also required. Through this work, the fundamental material challenges in driving down the effective k1 factor will be highlighted.
Single-nm resolution approach by applying DDRP and DDRM
Wataru Shibayama, Shuhei Shigaki, Satoshi Takeda, et al.
EUV lithography has been desired as the leading technology for 1x or single nm half-pitch patterning. However, the source power, masks and resist materials still have critical issues for mass production. Especially in resist materials, RLS trade-off has been the key issue. To overcome this issue, we are suggesting Dry Development Rinse Process (DDRP) and Materials (DDRM) as the pattern collapse mitigation approach. This DDRM can perform not only as pattern collapse free materials for fine pitch, but also as the etching hard mask against bottom layer (spin on carbon : SOC). In this paper, we especially propose new approaches to achieve high resolution around hp1X nm L/S and single nm line patterning. Especially, semi iso 8nm line was successfully achieved with good LWR (2.5nm) and around 3 times aspect ratio. This single nm patterning technique also helped to enhance sensitivity about 33%. On the other hand, pillar patterning thorough CH pattern by applying DDRP also showed high resolution below 20nm pillar CD with good LCDU and high sensitivity. This new DDRP technology can be the promising approach not only for hp1Xnm level patterning but also single nm patterning in N7/N5 and beyond.
Source
icon_mobile_dropdown
Scaling LPP EUV sources to meet high volume manufacturing requirements (Conference Presentation)
In this paper, we provide an overview of various challenges and their solutions for scaling laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source performance to enable high volume manufacturing. We will discuss improvements to source architecture that facilitated the increase of EUV power from 100W to >200W, and the technical challenges for power scaling of key source parameters and subsystems. Finally, we will describe current power-scaling research activities and provide a forward looking perspective for LPP EUV sources towards 500W.
Performance of 250W high-power HVM LPP-EUV source
We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL since 2003. Unique original technologies such as; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulse shooting and mitigation with magnetic field have been developed in Gigaphoton Inc.. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. We demonstrated 117W EUV power (I/F clean in burst), 50 kHz, 22 hours stable operation at Pilot #1 device. Target of this device is 250 W EUV power by 27 kW pulsed CO2 driver laser system.
High-radiance LDP source for mask inspection and beam line applications (Conference Presentation)
Yusuke Teramoto, Bárbara Santos, Guido Mertens, et al.
High-throughput actinic mask inspection tools are needed as EUVL begins to enter into volume production phase. One of the key technologies to realize such inspection tools is a high-radiance EUV source of which radiance is supposed to be as high as 100 W/mm2/sr. Ushio is developing laser-assisted discharge-produced plasma (LDP) sources. Ushio’s LDP source is able to provide sufficient radiance as well as cleanliness, stability and reliability. Radiance behind the debris mitigation system was confirmed to be 120 W/mm2/sr at 9 kHz and peak radiance at the plasma was increased to over 200 W/mm2/sr in the recent development which supports high-throughput, high-precision mask inspection in the current and future technology nodes. One of the unique features of Ushio’s LDP source is cleanliness. Cleanliness evaluation using both grazing-incidence Ru mirrors and normal-incidence Mo/Si mirrors showed no considerable damage to the mirrors other than smooth sputtering of the surface at the pace of a few nm per Gpulse. In order to prove the system reliability, several long-term tests were performed. Data recorded during the tests was analyzed to assess two-dimensional radiance stability. In addition, several operating parameters were monitored to figure out which contributes to the radiance stability. The latest model that features a large opening angle was recently developed so that the tool can utilize a large number of debris-free photons behind the debris shield. The model was designed both for beam line application and high-throughput mask inspection application. At the time of publication, the first product is supposed to be in use at the customer site.
Free-electron laser emission architecture impact on EUV lithography
Erik R. Hosler, Obert R. Wood II, William A. Barletta
Laser-produced plasma (LPP) EUV sources have demonstrated approximately 125 W at customer sites, establishing confidence in EUV lithography as a viable manufacturing technology. However, beyond the 7 nm technology node existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multi-patterning (requiring increased wafer throughput proportional to the number of exposure passes. Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should free-electron lasers become the preferred next generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability.

A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) selfamplified spontaneous emission (SASE), (2) regenerative amplification (RAFEL), or (3) self-seeding (SS-FEL). Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provide a framework for future FEL design and enablement for EUV lithography applications.
Posters: Inspection
icon_mobile_dropdown
First light at EBL2
Norbert Koster, Edwin te Sligte, Freek Molkenboer, et al.
TNO is building EBL2 as a publicly accessible test facility for EUV lithography related development of photomasks, pellicles, optics, and other components requiring EUV exposure. EBL2 consists of a EUV Beam Line, a XPS system, and sample handling infrastructure. Recently we finished installation of the source, exposure chamber, handlers and XPS system. This paper describes the integration process and first light of the EUV source.EBL2 accepts a wide range of sample sizes, including EUV masks with or without pellicles. All types of samples will be loaded using a standard dual pod interface. EUV masks returned from EBL2 will retain their NXE compatibility to facilitate wafer printing on scanners after exposure in EBL2. The Beam Line provides high intensity EUV irradiation from a Sn-fueled EUV source from Ushio. EUV intensity, spectrum, and repetition rate are all adjustable. The XPS system is now operational and accepts samples up to reticle size.
RESCAN: an actinic lensless microscope for defect inspection of EUV reticles
Actinic mask defect inspection is an essential process step for the implementation of EUV Lithography in high-volume manufacturing. The main challenges for any mask defect inspection platform are resolution, sensitivity, and throughput. The reflective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic patterned mask inspection capabilities for defects and patterns with high resolution and high throughput, for node 7 and beyond. Namely, the first goal of the RESCAN project is to develop a tool capable of inspecting an EUV reticle in about 7 hours and detect mask defects down to a size of 10 nm. The lensless imaging concept allows to overcome the resolution limitations due to the numerical aperture (NA) and lens aberrations of conventional actinic mask imaging systems. With the increasing availability of computational power and the refinement of iterative phase reconstruction algorithms, lensless imaging became a powerful tool to synthesize the complex amplitude of the actinic aerial image providing us also with extremely valuable information about phase and mask 3D effects. Here, we present a brief description of the current prototype of the RESCAN platform and illustrate a few experimental examples of programmed defect detection.
Arc-shaped slit effect of EUV lithography with anamorphic high-NA system in terms of critical dimension variation
EUV lithography is one of the promising technologies for 1X nm patterning. EUV lithography has high resolution capability because of short wavelength of source but it has some particular patterning problems which are not appeared a t optical lithography. Owing to reflective optics, EUV light incidents obliquely in mask and oblique incidence of EUV lithography leads shadow effect and arc-shaped exposure slit. The study of these particular optical problems are required for optical proximity correction (OPC). Arc-shaped exposure slit leads azimuthal angle variation, incident angle variation , and variation of shadow width. With these variations along exposure slit, patterning result is varied along the exposure slit. With understanding of these particular optical problems, lots of EUV OPC studies have been presented with 0.33 conventional NA system. However, suggested anamorphic high NA system has not only elliptical shaped mask NA and also different angle distribution. The incident angle variation as a function of azimuthal angle is different between isomorphic and anamorphic NA systems. In case of anamorphic NA system, incident angle distribution is decreased on horizontal direction but it is larger on vertical direction compared with case of isomorphic NA system. These differences make different arc-shaped slit effect. CD variation as a function of azimuthal angle is different between isomorphic and a namorphic NA systems. The study of CD variation along the exposure slit is very helpful for OPC in EUV lithography.
A two-step method for fast and reliable EUV mask metrology
Patrick Helfenstein, Iacopo Mochi, Rajeev Rajendran, et al.
One of the major obstacles towards the implementation of extreme ultraviolet lithography for upcoming technology nodes in semiconductor industry remains the realization of a fast and reliable detection methods patterned mask defects. We are developing a reflective EUV mask-scanning lensless imaging tool (RESCAN), installed at the Swiss Light Source synchrotron at the Paul Scherrer Institut. Our system is based on a two-step defect inspection method. In the first step, a low-resolution defect map is generated by die to die comparison of the diffraction patterns from areas with programmed defects, to those from areas that are known to be defect-free on our test sample. In a later stage, a die to database comparison will be implemented in which the measured diffraction patterns will be compared to those calculated directly from the mask layout. This Scattering Scanning Contrast Microscopy technique operates purely in the Fourier domain without the need to obtain the aerial image and, given a sufficient signal to noise ratio, defects are found in a fast and reliable way, albeit with a location accuracy limited by the spot size of the incident illumination. Having thus identified rough locations for the defects, a fine scan is carried out in the vicinity of these locations. Since our source delivers coherent illumination, we can use an iterative phase-retrieval method to reconstruct the aerial image of the scanned area with – in principle – diffraction-limited resolution without the need of an objective lens. Here, we will focus on the aerial image reconstruction technique and give a few examples to illustrate the capability of the method.
Posters: Masks and Optics/Pellicle
icon_mobile_dropdown
Monte Carlo sensitivity analysis of EUV mask reflectivity and its impact on OPC accuracy
Unlike optical masks which are transmissive optical elements, use of extreme ultraviolet (EUV) radiation requires a reflective mask structure - a multi-layer coating consisting of alternating layers of high-Z (wave impedance) and low-Z materials that provide enhanced reflectivity over a narrow wavelength band peaked at the Bragg wavelength.1 Absorber side wall angle, corner rounding,2 surface roughness,3 and defects4 affect mask performance, but even seemingly simple parameters like bulk reflectivity on mirror and absorber surfaces can have a profound influence on imaging. For instance, using inaccurate reflectivity values at small and large incident angles would diminish the benefits of source mask co-optimization (SMO) and result in larger than expected pattern shifts.

The goal of our work is to calculate the variation in mask reflectivity due to various sources of inaccuracies using Monte Carlo simulations. Such calculation is necessary as small changes in the thickness and optical properties of the high-Z and low-Z materials can cause substantial variations in reflectivity. This is further complicated by undesirable intermixing between the two materials used to create the reflector.5 One of the key contributors to mask reflectivity fluctuation is identified to be the intermixing layer thickness. We also investigate the impacts on OPC when the wrong mask information is provided, and evaluate the deterioration of overlapping process window. For a hypothetical N7 via layer, the lack of accurate mask information costs 25% of the depth of focus at 5% exposure latitude. Our work would allow the determination of major contributors to mask reflectivity variation, drive experimental efforts of measuring such contributors, provide strategies to optimize mask reflectivity, and quantize the OPC errors due to imperfect mask modeling.
A study on EUV reticle surface molecular contamination under different storage conditions in a HVM foundry fab
SherJang Singh, Brett Yatzor, Ron Taylor, et al.
The prospect of EUVL (Extreme Ultraviolet Lithography) insertion into HVM (High Volume Manufacturing) has never been this promising. As technology is prepared for "lab to fab" transition, it becomes important to comprehend challenges associated with integrating EUVL infrastructure within existing high volume chip fabrication processes in a foundry fab. The existing 193nm optical lithography process flow for reticle handling and storage in a fab atmosphere is well established and in-fab reticle contamination concerns are mitigated with the reticle pellicle. However EUVL reticle pellicle is still under development and if available, may only provide protection against particles but not molecular contamination. HVM fab atmosphere is known to be contaminated with trace amounts of AMC’s (Atmospheric Molecular Contamination). If such contaminants are organic in nature and get absorbed on the reticle surface, EUV photon cause photo-dissociation resulting into carbon generation which is known to reduce multilayer reflectivity and also degrades exposure uniformity. Chemical diffusion and aggregation of other ions is also reported under the e-beam exposure of a EUV reticle which is known to cause haze issues in optical lithography. Therefore it becomes paramount to mitigate absorbed molecular contaminant concerns on EUVL reticle surface. In this paper, we have studied types of molecular contaminants that are absorbed on an EUVL reticle surface under HVM fab storage and handling conditions. Effect of storage conditions (gas purged vs atmospheric) in different storage pods (Dual pods, Reticle Clamshells) is evaluated. Absorption analysis is done both on ruthenium capping layer as well as TaBN absorber. Ru surface chemistry change as a result of storage is also studied. The efficacy of different reticle cleaning processes to remove absorbed contaminant is evaluated as well.
CD error caused by aberration and its possible compensation by optical proximity correction in extreme-ultraviolet lithography
There has been reports of EUV scanner aberration effects to the patterns down to 18 nm half-pitch (hp). Maximum aberration of the latest EUV scanner is reported as 25 mλ. We believe that the first EUV mass production will be applied to the devices of 16 nm hp, so that we checked the aberration effects on 16 nm periodic line and space patterns and nonperiodic double and five-bar patterns. Coma aberrations of Z7, Z8, Z14 and Z15 Zernike polynomials (ZP) seems to be the dominant ones that make pattern distortion. Non-negligible critical dimension (CD) variation and position shift are obtained with the reported maximum 25 mλ of coma aberration. Optical proximity correction (OPC) is tried to see if this aberration effects can be minimized, so that we can make the desired patterns even though there is a non-correctable scanner aberration.
Impact of non-uniform wrinkles for a multi-stack pellicle in EUV lithography
Extreme ultraviolet (EUV) pellicle is required for protecting the EUV mask from defects, contaminations, and particles during exposure process. EUV pellicle should be very thin for high transmission of EUV wavelength. Therefore, EUV pellicle can be easily deformed during the exposure process, and the multi-stack pellicle is suggested to minimize this deformation of EUV pellicle. The EUV multi-stack pellicle is made of polysilicon-based core layer and capping layers for the durability during the exposure process. Nevertheless, there remains other manufacturing, thermal, and mechanical problems. In this study, we investigated the impact of wrinkles of EUV pellicle, which can be formed during pellicle manufacturing or exposure process, in terms of transmission non-uniformity and critical dimension (CD) variation for 5- nm and 3-nm nodes. To fabricate 3-nm node, we need a high numerical aperture (NA) system such as an anamorphic NA system with chief ray angle of 6-degree. The wrinkle can be uniform in height and period, but we assumed a realistic non-uniform wrinkle. This non-uniform wrinkle of multi-stack pellicle may cause different image distortion for 5-nm and 3-nm nodes with the isomorphic and anamorphic NA systems. The transmission non-uniformity is calculated with various heights and periods of non-uniform wrinkles of the pellicle. It is found that the transmission non-uniformity for wrinkled pellicle for the anamorphic NA system can be larger than that for the isomorphic NA system to obtain CD uniformity below 0.2 nm.
Image-based pupil plane characterization for anamorphic lithography systems
Next-generation EUV lithography systems will use anamorphic optics to achieve high-NA. The well-known Zernike circle polynomials do not describe the sixteen primary aberrations of these anamorphic optical systems though. We propose to use a basis which does describe the primary aberrations. We examine the properties of this new basis and how they impact lithographic processes through analogies to isomorphic aberrations. We have developed an application to use the proposed basis in existing lithography simulators. There is an additional importance in EUVL placed on understanding how pupil variation evolves during system operation. Interferometric methods are the de facto standard of pupil phase metrology but are challenging to implement during tool use. We have previously presented an approach to measure both the pupil amplitude and phase variation of isomorphic EUVL systems from images formed by that system. We show how this methodology can be adapted to anamorphic optical systems. More specifically, we will present a set of binary metrology targets sensitive to the anamorphic primary aberrations.
Posters: Printing
icon_mobile_dropdown
Recent development status of rinse material for EUV lithography
Kazuma Yamamoto, Maki Ishii, Tomoyasu Yashima, et al.
Extreme ultraviolet lithography is one of the promising high volume manufacturing processes for devices below 7 nm node and beyond. However, resist pattern collapse is one of the hurdles in achieving a comfortable process margin/window for resist patterning. To suppress this resist pattern collapse and to improve manufacturing process margin, undoubtedly it is a well-known fact that the rinse materials can mitigate a pattern collapse at development process.

In this study, we focus on the relationship between resist swelling and pattern collapse, based on the assumption of resist pattern softening induced by resist swelling and further resist pattern bending or deformation which could be classified as pattern collapse. To verify this model, two samples of Rinse-A and Rinse-B which showed similar capillary force but different resist swelling behavior expected, respectively, were prepared. And the hardness of the resist films treated using those rinse materials together with de-ionized water as reference rinse, were measured using nano-indentation measurement technique.

From the result, it was found that Rinse-A had the resist film hardness reduced by 7.9 % as compared to that on de-ionized water. On the other hand, Rinse-B which was designed to suppress the penetration of additives into the resist film, exhibited comparable hardness property to that on reference rinse, de-ionized water. Finally, the evaluation of lithographic performance of the photoresist in different rinse process conditions using Rinse-A and Rinse-B was carried out, and Rinse- B achieved 12.7 nm minimum pattern size of resist feature at 16 nm half pitch printing by interference projection system on Extreme Ultraviolet lithography, whereas Rinse-A showed 16.5 nm.
Contribution of EUV mask CD variability on LCDU
Zhengqing John Qi, Jed Rankin, Lei Sun, et al.
The shrink in feature sizes enabled by EUV lithography introduces a regime where stochastic limits to resolution can manifest in the form of line edge roughness (LER) for line/space patterns and local critical dimension uniformity (LCDU) for contact/holes. To meet increasing tolerances on edge placement error (EPE) and suppression of stochastic effects, an understanding of EUV mask contributions on lithographic patterning variability is essential. The work here explores stochastic noise originating from the mask patterning process and attempts to quantify its contributions towards on-wafer LCDU. A semiempirical approach was used to statistically decompose the mask variability component from the measured LCDU and provide a first-order understanding of the mask’s impact on wafer. Taking a more direct approach, a one-to-one correlation of local CD variation between mask and wafer was also experimentally shown, presenting the possibility for predicting the contributions and impact of mask LCDU on wafer prior to exposure.
EUV process improvement with novel litho track hardware
Harold Stokes, Masahiko Harumoto, Yuji Tanaka, et al.
Currently, there are many developments in the field of EUV lithography that are helping to move it towards increased HVM feasibility. Targeted improvements in hardware design for advanced lithography are of interest to our group specifically for metrics such as CD uniformity, LWR, and defect density. Of course, our work is focused on EUV process steps that are specifically affected by litho track performance, and consequently, can be improved by litho track design improvement and optimization. In this study we are building on our experience to provide continual improvement for LWR, CDU, and Defects as applied to a standard EUV process by employing novel hardware solutions on our SOKUDO DUO coat develop track system. Although it is preferable to achieve such improvements post-etch process we feel, as many do, that improvements after patterning are a precursor to improvements after etching. We hereby present our work utilizing the SOKUDO DUO coat develop track system with an ASML NXE:3300 in the IMEC (Leuven, Belgium) cleanroom environment to improve aggressive dense L/S patterns.
Impact of EUV SRAF on Bossung tilt
Yow-Gwo Wang, Stephen Hsu, Robert Socha, et al.
Mask 3D (M3D) effects remain a significant challenge affecting EUV lithography (EUVL) imaging performance due to the comparable sizes of the mask and the EUV wavelength. Pre-compensation with the insertion of sub-resolution assist features (SRAFs) has been proposed as a solution to compensate M3D effects and improve the process window for advanced technology nodes. In this paper, we discuss the possible positive impact of SRAFs on Bossung tilt, and provide physical insight into the optical mechanisms at play enabling M3D effect mitigation. In particular, we consider an example isolated 2-bar (CD = 16 nm) pattern imaged under delta function dipole illumination. We compare the scattered order distribution and Bossung tilt with and without SRAFs. The results show that SRAFs actually introduce stronger effective single pole aberrations in the imaging process. However, the opposite impacts on Bossung tilt from each pole results in an overall improvement for dipole illumination. Reduced Bossung tilt and a 21% improvement of the overlapping process window are achieved by the insertion of asymmetric SRAFs into the 2-bar mask design.
2D self-aligned via patterning strategy with EUV single-exposure in 3nm technology
EUV lithography (EUVL) is rising up as a solution of sub-10nm technology node via patterning. Due to better resolution of EUVL than it of immersion ArF (iArF) lithography, multiple iArF masks can be replaced by one EUV mask. However, for 24nm by 24nm metal grid, two diagonally neighboring vias yield either contour of two holes or peanut-shape contour. Because of the large variability of the via contours, the two vias are separably patterned with two different masks. We propose to insert bridge patterns (BPs) at the middle of the diagonally neighboring vias, so that single EUV exposure can draw peanut-shape contour consistently. In this study, we also assume 2D self-aligned via (2D SAV) which can align via holes in both vertical and horizontal direction for better edge placement error margin, so unique re-targeted via patterns that is called bridged via (BV) appears. We investigate impact of BV size and BP shapes on simulated contour using source mask optimization, and popular BVs are compared in terms of probability of failure which are calculated with Monte-Carlo simulation.
Posters: Resist
icon_mobile_dropdown
A study on enhancing EUV resist sensitivity
Studies have been carried out on developing a method for improving EUV resist sensitivity by enhancing EUV light absorption through the addition of metals having high EUV light absorption to the resist polymer in order to increase secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency[1-3]. To confirm whether the addition of metals having high EUV light absorption actually does enhance sensitivity, study efforts included transmittance measurements and sensitivity evaluations of resist samples doped with ZrO2 or TeO2 nanoparticles, which have low and high EUV light absorption, respectively, in molar quantities of 0-2 relative to PAG. The samples were subjected to EUV exposure at the NewSUBARU synchrotron radiation facility. While the ZrO2-doped resist showed no evident enhancement of sensitivity or transmittance, the TeO2-doped resist showed enhancement in both properties. Based on these results, we confirmed that the addition of metals having high EUV light absorption to the EUV resist enhances its EUV light absorption and increases secondary electron emission, thereby enhancing PAG reactivity and improving acid generation efficiency.
Impact of acid statistics on EUV local critical dimension uniformity
The goal of this work intends to explore the effects of different acid concentrations on LCDU and to utilize these experimental data to validate the LCDU analytical model for CAR with the final aim to predict the chemical limits of CAR. In this work, effects of acid deprotection, acid diffusion, acid-base interaction and PEB temperature on LCDU are studied by varying the PAG acidity, size, loading and quencher loading in chemically amplified resist. It was found PAG acidity, PAG anion size, quencher loading and PEB have significant influences on LCDU, while PAG loading has less significant influences. The EUV experimental results were then utilized to validate the LCDU analytical model. The model assumes that LCDU is directly proportional to normalized dose sensitivity (NDS) and photon-acid statistics, namely the photon or acid counting within blur range. In the above design of experience, PAG loading, quencher loading and PEB temperature affect resist sensitivity as well as diffusion length or blur length. Although acid diffusion reduction leads to shorter blur length thus smaller photon counting area, dose increment contributes to higher photon density in the counting area. Therefore overall acid and photon statistics is improved and LCDU generally decreased with reduced acid diffusion. However, such benefits disappear at certain level and the lower limit of LCDU of this resist platform is observed. Besides acid diffusion, NDS impacts LCDU through as well, which can be achieved by varying the PAG type. In summary, this work help to understand how to manipulate different resist compositions to impact NDS and acid diffusion in order to improve LCDU.
Influence of post exposure bake time on EUV photoresist RLS trade-off
Yannick Vesters, Danilo De Simone, Stefan De Gendt
To achieve high volume manufacturing, EUV photoresists need to push back the "RLS trade-off" by simultaneously improving Resolution, Line-Width Roughness and Sensitivity (exposure dose). Acid diffusion in chemically amplified resist is known to impact these performances. This work studies the diffusion of acid in chemically amplified resist by varying the post exposure bake duration while monitoring the evolution of CD and LWR for 6 chemically amplified EUV photoresists (CAR).

We observed a first regime where both CD and LWR quickly decrease during the first 30s of post exposure bake (PEB). This can be related to the deprotection reaction taking place in the exposed part of the resist. After 60s the decrease in CD and LWR slows down significantly, likely related to a regime of acid diffusion from exposed to unexposed region, and acid-quencher neutralization at the interface of these two regions.

We tested two resists with different protecting group and the one having lower activation energy shows a faster CD change in the second regime, resulting in a worsening of LWR for longer PEB time. On the contrary, a resist with a high quencher loading shows reduced net diffusion of acid towards the unexposed region and controls the resist edge profile. In other words longer PEB does not degrade LWR, but as it reduces the line CD, sensitivity is impacted. With an appropriate ratio selection of quencher to PAG, an EUV dose reduction of up to 12% can be achieved with a change from a standard 60 second to a 240 second PEB time, while keeping LWR and resolution constant and therefore pushing the RLS performances.

Finally, we confirmed that the observations on positive tone development (PTD) resist could be applied to negative tone development (NTD) resist: with a high quencher NTD resist we observed a dose reduction of 8% for longer PEB time, keeping LWR and resolution constant.
Extreme ultraviolet patterning of tin-oxo cages
We report on the extreme ultraviolet (EUV) patterning performance of tin-oxo cages: molecular building blocks that are known to turn insoluble upon EUV exposure, thus having the properties of a negative tone photoresist. In this work, we focus on contrast curves of the materials using open-frame EUV exposures and their patterning capabilities using EUV interference lithography. It is shown that baking steps, such as post-exposure baking (PEB) can significantly affect both the sensitivity and contrast in the open-frame experiments as well as the patterning experiments. In addition, we show that the exchange of the anions of the cage can make a difference in terms of their physical properties. Our results demonstrate the significance of process optimization while evaluating the resist performance of novel molecular materials.
Technology for defectivity improvement in resist coating and developing process in EUV lithography process
Yuya Kamei, Takahiro Shiozawa, Shinichiro Kawakami, et al.
Extreme ultraviolet lithography (EUVL) technology is getting closer to high volume manufacturing phase every year. In order to enhance the yield in EUV lithography process, further improvement of defectivity is required at the moment. In this paper, optimized rinse and new dispense system (NDS) have been applied to a 24nm contact hole (CH) pattern in order to achieve defect reduction. As a result, the optimized rinse reduced approximately 70 % of residue defects. In addition, NDS for coating process exhibited 80 % defect reduction in particles in the coating films of material such as SOC, SOG, and resist.
In-situ measurement of outgassing generated from EUV resist including metal oxide nanoparticles during electron irradiation
Seiji Takahashi, Yoichi Minami, Mikio Kadoi, et al.
In this study, we evaluated the outgassing generated from EUV resist which included metal oxide nanoparticles during electron irradiation. We prepared two types of samples including ZrO2 and TeO2, and a sample without including metal oxide, respectively. The outgassing species were measured from each sample during electron irradiation at the Eth exposure dose. The electron acceleration energy was 2 keV. In the outgassing measurement, we used original in-situ outgassing monitoring system in quadrupole mass spectrometry which we developed. From the results of mass spectrum, we observed CO2, H2O as typical mass peaks at each sample. And also we observed C4H4O2 and C4H6O2 peaks in spectrum of each sample. And these peaks are guessed 2(5H)-furanone and butyrolactone generated from base polymer. C6H6 peaks were observed in the mass spectrum of including ZrO2 and TeO2. We guessed that these peaks are from benzene generated from photo-acid generator (PAG). On the other hand, C6H6 peaks were not observed in the mass spectrum of without metal oxide. About this, we could not explain that mechanism by our knowledge of the present, but we can guess that metal oxide nanoparticles worked to generate benzene. About metal oxide peaks did not observe about mass spectrum of each sample. Each metal or metal oxide might not be evaporated during electron irradiation.
Irresistible Materials multi-trigger resist: the journey towards high volume manufacturing readiness
Warren Montgomery, Alexandra McClelland, David Ure, et al.
Irresistible Materials (IM) is a UK company spun out of the University of Birmingham. It is developing novel resist systems based on the Multi-trigger concept, and spin-on-carbon hardmask materials. IM has developed a new EUV resist that is nonmetal based, does not need a post exposure bake (PEB), and delivers high sensitivity, excellent contact hole resolution, with low LER. It is being readied for HVM through a partnership with Nano-C, Inc. (the Massachusetts based manufacturer of advanced electronic materials and chemicals). The transition to scalability will be the highlight of this discussion.
Simulation and experimentation of PSCAR chemistry for complex structures
Michael Carcasi, Seiji Nagahara, Gosuke Shiraishi, et al.
Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) continues to be one of the most important candidates for future technology nodes. For the insertion of EUV lithography into device mass production, higher sensitivity of EUV resists is helpful for better cost of ownership of the EUV tool and light source. However, obtaining low sensitivity (S), high resolution (R), and low line edge roughness (L) simultaneously is very difficult. Many previous experiments by lithographers proved the existence of this "RLS trade-off"1-2. This paper furthers the work related to Photosensitized Chemically Amplified ResistTM (PSCAR)TM**, a chemistry which is trying to break the "RLS tradeoff" relationship. This chemistry was introduced as a new chemically amplified lithographic concept and is accomplished in an in-line track tool with secondary exposure module connected to EUV exposure tool.

PSCAR is a modified CAR which contains a photosensitizer precursor (PP) in addition to other standard CAR components such as a protected polymer, a photo acid generator (PAG) and a quencher. In the PSCAR process, an improved chemical gradient can be realized by dual acid quenching steps with the help of increased quencher concentration. The addition of the PP, as well as other material optimization, offers more degrees of freedom for getting high sensitivity and low LER, but also makes the system more complicated. Thus coupling simulation and experimentation is the most rational approach to optimizing the overall process and for understanding complicated 2-D structures.

In this paper, we will provide additional background into the simulation of PSCAR chemistry, explore the effects of PSCAR chemistry on chemical contrast of complex structures (e.g. T structures, slot contacts, I/D bias for L/S), and explore the sensitivity enhancement levels capable while improving or maintaining lithographic performance. Finally, we will explore modifications of PSCAR chemistry on performance.
Optimization of stochastic EUV resist models parameters to mitigate line edge roughness
John J. Biafore, Azat Latypov, Anindarupa Chunder, et al.
The optimization problem of reducing EUV line edge roughness (LER) of a given feature, subject to the tolerance constraints on a CD of this feature at nominal EUV process conditions and several off-nominal conditions, is formulated. A stochastic rigorous Monte-Carlo EUV resist model is employed to solve this stochastic optimization problem. Several options for optimization algorithms, suitable for the solution of the formulated EUV LER optimization problem, are presented and discussed, along with the results of their tests.
Contrast curves for low energy electron exposures of an EUV resist in a scanning electron microscope
Suchit Bhattarai, Andrew R. Neureuther, Patrick P. Naulleau
We present an experimental technique for determining the energy delivery efficiency of secondary electrons in an EUV resist, by directly exposing a positive tone chemically amplified resist with 29- 91 eV electrons created by utilizing the deceleration technology in a scanning electron microscope. Charging is an important problem associated with thin film exposure experiments. We assess the feasibility of using the SEM frame rate as a knob for controlling charging related artifacts. Preliminary measurements of secondary electron emission signal from an unexposed region in the resist provide clues about the time domain surface potentials that may form while the sample charges during exposures. These signals are found to change as a function of the SEM frame rate and landing energies. We provide contrast curve data for resist exposures with 29 eV, 49 eV and 91 eV electrons at three frame rates of 33 ms/frame, 8 s/frame and 30 s/frame. The energy delivery efficiency of electrons estimated for all three frame rates are also provided.
Computational approach on PEB process in EUV resist: multi-scale simulation
Muyoung Kim, Junghwan Moon, Joonmyung Choi, et al.
For decades, downsizing has been a key issue for high performance and low cost of semiconductor, and extreme ultraviolet lithography is one of the promising candidates to achieve the goal. As a predominant process in extreme ultraviolet lithography on determining resolution and sensitivity, post exposure bake has been mainly studied by experimental groups, but development of its photoresist is at the breaking point because of the lack of unveiled mechanism during the process. Herein, we provide theoretical approach to investigate underlying mechanism on the post exposure bake process in chemically amplified resist, and it covers three important reactions during the process: acid generation by photo-acid generator dissociation, acid diffusion, and deprotection. Density functional theory calculation (quantum mechanical simulation) was conducted to quantitatively predict activation energy and probability of the chemical reactions, and they were applied to molecular dynamics simulation for constructing reliable computational model. Then, overall chemical reactions were simulated in the molecular dynamics unit cell, and final configuration of the photoresist was used to predict the line edge roughness. The presented multiscale model unifies the phenomena of both quantum and atomic scales during the post exposure bake process, and it will be helpful to understand critical factors affecting the performance of the resulting photoresist and design the next-generation material.
Posters: Source
icon_mobile_dropdown
Key components technology update of the 250W high-power LPP-EUV light source
Yasufumi Kawasuji, Krzysztof M. Nowak, Tsukasa Hori, et al.
13.5nm wavelength, CO2-Sn-LPP EUV light source which is the most promising solution for the source capable of enabling high-volume-manufacturing of semiconductor devices with critical layers patterned with sub-10nm resolution. Our source incorporates unique and original technologies such as; high power short pulse CO2 laser, short wavelength solid-state pre-pulse laser, highly stabilized droplet generator, a laser-droplet shooting control system and debris mitigation technology utilizing a strong magnetic field. In this paper we present a technology update on the key components of our 250W CO2-Sn-LPP EUV light source.
Study of Sn removal by surface wave plasma for source cleaning
Gianluca Panici, Dren Qerimi, David N. Ruzic
A hydrogen plasma cleaning technique to clean Sn off of EUV sources is studied in detail. The cleaning process uses hydrogen radicals (formed in the hydrogen plasma) to interact with Sn-coated surfaces, forming SnH4 and being pumped away. This technique has been used to clean a 300mm-diameter stainless steel dummy collector optic, and EUV reflectivity of multilayer mirror samples was restored after cleaning Sn from them, validating the potential of this technology. A concern for plasma based methods is the implantation of high energy hydrogen ions into the MLM, reducing reflectivity and possibly blistering. With a surface wave plasma (SWP) this concern is alleviated somewhat because of lower ion energies. Surface wave plasmas have lower electron temperatures than conventional sources in the range of 1 to 3 eV. In addition, SWP sources result in plasma densities on the order of 1011-12 cm-3, allowing for greater utilization of ion etch enhancement. Experiments measuring radical density and etch rate profiles have been conducted and the results from these measurements are presented. These will help demonstrate scalability of SWP cleaning techniques for use in EUV sources.
Background pressure effects on EUV source efficiency and produced debris characteristics
The cost of future computer chips, among other things, will depend on the performance of EUV sources and on the duration of the efficient operation and lifetime of nanolithography devices. While the efficiency of the sources is continuously being improved, their operational cycle is still highly restricted due to optical mirrors degradation as well as necessity of cleaning chamber environment and components.

One of the potential problems of EUV sources for high volume manufacture (HVM) regimes can be related to the contamination of chamber environment by products of preceding laser pulse/droplet interactions. Implementation of high, 100 kHz and higher, repetition rate of devices for Sn droplets and laser pulses generation can cause high accumulation of tin in the chamber in the form of vapor/clusters.

Possible tin accumulation in the chamber in dependence on laser parameters and mitigation system efficiency was evaluated. Then, the effects of various pressures of tin vapor on the CO2 and Nd:YAG laser beams propagation and on the size, the intensity, and the efficiency of EUV sources produced were studied.
Improvement of power, efficiency, and cost of ownership in the tin LPP EUV source
An argon plasma in a magnetic cusp [1,2,3] thermalizes fast tin ions from the laser-plasma exhaust and guides their heat onto a large area beam dump. Demonstrations of plasma stability and particle control [2,3] have shown the magnetic field requirement to be modest and easily achievable with a very compact magnetic circuit. The plasma required for an extreme ultraviolet (EUV) source power of 500W has a pressure of 640Pa and is contained in a cusp magnetic well with a containment B field of only 40mT. Superconducting magnets are not required and the conventional magnet power totals only 4kW. A cusp magnetic circuit of mild steel serves as part of the source chamber wall and has very small fringing fields. In 2015 [2] stable containment was demonstrated of an argon plasma at the exhaust power, temperature and density required for this application. Without B-field containment the same degree of tin ion control would require a large gas number density, necessitating the use of hydrogen for low EUV absorption, with its associated disadvantages of dissociation, tin hydride chemistry and re-cycling concerns. Without a guide B-field, the hydrogen throughput to carry away excess plasma heat is very large and the hydrogen flow is not directional, in contrast to the flow of a magnetically-controlled plasma, making scaling of the hydrogen technology very difficult. Substantially raised EUV source efficiency (40% improvement) is achievable via plasma guidance that conforms the shape of the cusp magnetic well to the EUV collector optic, allowing a collection solid angle as high as 7 sterad. This translates into a laser power as low as 30kW for 500W of EUV power. Because the plasma braking mechanism for fast tin ions is dominantly via electron Coulomb collisions [3], non-reactive argon gas can replace hydrogen as the plasma positive ion, and its flow rate can be much lower. In the argon cusp tin LPP source, the exhaust power is guided precisely onto a ring-shaped beam dump that receives argon ions of energy too low to cause back-sputtering of tin. A typical beam dump heat loading for a 500W EUV source will be 100W cm-2 or less. This combination of low-stress components, easy argon recycling and high source efficiency makes the further development of this technology very important for high volume manufacturing.