Proceedings Volume 0822

Raman and Luminescence Spectroscopy in Technology

Fran Adar, James E. Griffiths
cover
Proceedings Volume 0822

Raman and Luminescence Spectroscopy in Technology

Fran Adar, James E. Griffiths
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 19 January 1988
Contents: 1 Sessions, 27 Papers, 0 Presentations
Conference: 31st Annual Technical Symposium on Optical and Optoelectronic Applied Sciences and Engineering 1987
Volume Number: 0822

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • All Papers
All Papers
icon_mobile_dropdown
Raman Spectroscopy Applied To The Characterization Of Semiconductors And Semiconductor Microstructures
M. Cardona
The inelastic scattering of light was discovered by Ramanl and, independently, by Landsberg and Madelstam2 after theoretical predictions by Brillouin3 and Smekal. Today, we call Brillouinscattering the scattering of light by long wavelength acoustic phonons (frequency < 10 cm-1) while the term "Raman" is reserved for scattering by optical phonons or by a number of other elementary excitations (magnons, plasmons,electron-hole excitations, polaritons ...) with typical frequencies between 10 and 5000 cm-1.
Raman Spectroscopic Study Of Point Defects In Bulk GaAs
J. Wagner, M. Ramsteiner, H. Seelewind
Raman scattering with below-band-gap light has been used to study residual extrinsic acceptors as well as the intrinsic 78/203 meV double acceptor in bulk GaAs. Both electronic and vi-bronic excitations of these defects are observed in the low-temperature Raman spectrum. Electronic scattering of extrinsic acceptors - namely carbon and zinc - in semi-insulating material provides a quantitative tool for materials characterization with a detection limit of < 5 x 1014 acceptors/cm3. Polarized Raman spectra give insight into the electronic structure of the 78/203 meV acceptor.
Raman Scattering As A Measure Of Semiconductor Surface Passivation
L. A. Farrow, C. J. Sandroff
Raman scattering data is used to determine the lowering of the barrier height resulting from a chemical passivation treatment which has previously been shown to reduce surface recombination velocity. Using a GaAs sample doped so that free electron carrier density in the bulk is 1018cm-3, the barrier height is shown to drop from .78 ± .02 ev to .51 ± .16 ev. Recently Sandroff et. al. 1 have shown that GaAs may be chemically passivated so as to increase dramatically the gain of a bipolar transistor. The passivation treatment con-sists of spinning a thin coat of one of a class of inorganic sulfides 2 onto the GaAs surface in air; in particular an aqueous solution of Na2S reduces the surface recombination velocity to nearly that of an AlGaAs/GaAs heterostructure interface2. Clearly the barrier height3 of the GaAs surface has been lowered, and a direct contactless measurement of this change can be made using Raman scattering tech-niques.4-6 Whereas previous work on band bending effects in GaAs used either <110> surfaces and resonance excita-tion5'6 or <111> surfaces,4 experiments to be described in this paper were performed on <100> surfaces, since this is the surface of choice. in fabricating devices. Excitation was supplied by the 5145A line of an Ar+ ion laser. Backscatter-ing geometry was used in a microprobe apparatus which has been described elsewhere.7 The E vector of the incoming radiation was always fixed parallel to the {100} direction in the plane of the sample and the scattered radiation was analyzed in the perpendicular direction, i.e. z(xy)i. Thus only LO phonons are allowed according to the selection rules.8 Samples were prepared by MBE. For n-type doping with n<1017cm-3, the LO phonon was observed at 291.5 cm-1. Some slight polarization leakage enabled obser-vation of the TO phonon at 268 cm-1; the LO/TO ratio was in excess of 16. At sufficiently high doping levels, the sur-face depletion layer will become thinner 5 than the 1053A penetration depth9 at 5145A. In the bulk doped material, the LO phonon couples with the free electron plasmon1°-12 and splits into two peaks whose frequencies are a function of free carrier density and excitation wavelength.13,14
Structural Properties Of Heteroepitaxial Semiconductor Islands By Raman Microscopy
P M. F auchet, I. H. Campbell, M.Abdul Awal, et al.
We have used a Raman microprobe to characterize thin epitaxial layers of Ge and of GaAs on Ge, grown on [100] Si wafers patterned with SiO2 islands of various sizes. We have observed changes in the Raman spectra which we explain in terms of stress, crystal-line orientation and crystalline quality variations. Changes in stress were observed after laser recrystallization of Ge on Si or SiO2, whereas the asdeposited Ge films were unstressed. The GaAs layers were not oriented in the [100] direction and also showed stress variations. This information can then be used to optimize the growth conditions. Such structures, combining an optoelectronic material (GaAs) with the most widely used semiconductor (Si) are attractive for high speed data processing.
Raman And RBS Studies Of Ion Implanted Semiconductors
R. c. Bowman Jr., D. N. Jamieson
Raman spectroscopy and Rutherford backscattering spectrometry (RBS) have been used to assess boron and silicon implants on the properties of single crystal silicon, GaAs, and CdTe. The behavior of these different semiconductors under identical implant conditions has been compared. Changes in the Raman optic phonon spectra reflect the extent of lattice damage caused by the ion implants as well as ability of various annealing procedures to remove this damage. The Raman results are generally confirmed by PBS ion-channeling measurements. Furthermore, these techniques are shown to provide complementary information on the distribution and nature of implant damage.
Selected Photoluminesence Transitions In Unintentionally Doped Inp Grown By Molecular Beam Epitaxy
Shlomo Ovadia, A. Iliadis
Photoluminesence data of unintentionally doped InP grown by molecular beam epitaxy are presented in order to clarify the origin of the 1.380 eV emission band and the 1.360 eV radiative transition. The 1.380 eV emission band was found to consist of a number of transitions which were attributed to Ca, Mg and C impurities. The SIMS analysis is in support of this result. The 1.360 eV radiative transition has been previously reported to be related to a phosphorus vacancy complex. Our results are in support of this observation. In addition, a split of 0.8 meV has been observed for this peak and was attributed to elastic strain. The effect of these transitions on the optical properties of the epitaxial InP is discussed.
Invited Paper Raman And Infrared Spectroscopy Of Potassium Nitrate Thin-Film Ferroelectric Memories
J. F . Scott, B. Pouligny, R. S. Katiyar
Raman and infrared spectra of submicron films of KNO, are qualitatively different from bulk. The thin film spectra are interpreted in terms of electric fields in a space charge region near the electrodes.
Switching Dynamics And Structures Of Ferroelectric Liquid Crystals In The Surface Stabilized Geometry
J . E. Maclennan, N. A. Clark
Ferroelectric smectic C Liauid Crystals (FLCs) in the Surface Stabilized geometry offer high speed, high contrast, bistable electro-optic switching characteristics. As a result, SSFLC devices are now beginning to be employed in a variety of light control applications in laboratory, display, fiber optic, and data processing applications. In this paper we discuss the structural and dynamic properties of SSFLC cells and their relationship to electro-optic switching characteristics. We have used optical transmission spectroscopy to determine the spatial variation of molecular orientation within non-uniform FLC cells as a function of applied electric field. Numerical solutions of the equation of motion suggest that director reorientation could be governed by the motion of solitary waves at very high fields.
Raman Spectroscopy Of Polycrystalline Diamond Films
Linda S. Plano, Fran Adar
Raman spectroscopy has been used to analyze thin (2000-5000A), polycrystalline diamond films. Specifically, this technique was used to distinguish diamond films from those with some percentrage of non-diamond bonding. It was also compared with electron diffraction as a characterization method. Laser induced changes in the films are discussed.
Raman Study Of Bi2O3 Bistable Switches And Resistors For Neural Networks
J. E. Griffiths, E. G. Spencer
Bismuth oxide and some structurally related materials are potentially useful as programmable bistable switches and resistors in neural network computers. Reproducibility of the electrical properties of the thermally evaporated bismuth oxide thin films can sometimes be a problem. The chemical constitution of asprepared and annealed films was studied using Raman spectroscopic methods and revealed the presence of minute quantities of disordered elemental bismuth. Although bismuth is optically opaque in the visible, the quantities present are so small that optical transparency appears to be unaffected.
Raman Microprobe Measurements Of Residual Strains At The Interfaces
Y. M. Cheong, H. L. Marcus, F. Adar
Raman spectroscopy offers a method of determining residual stresses in Raman active materials. It can serve as a method to monitor residual stresses in thin film-substrate composites. Measurements of such stresses by the Raman microprobe have been performed on a Si film on quartz and an Al film on quartz. For the quartz, the peak shift of one of the Raman active vibrations due to applied stress was calibrated using strain gauges and the four-point bending method. The measurements of residual strains at the Si/quartz interface using the Raman microprobe were compared to expected residual strains by a model, involving an exponential gradient in the substrate and no gradient in the film. The model shows that a small volume of substrate near the interface about two times the film thickness was affected by the thermal expansion mismatch of the two regions.
A New Raman Frequency-Shift Laser With Intracavity Arrangement For High Conversion Efficiency Of The First Order Of Stokes
MinYan Yao,, Ji Zhan, Caiyun Lou, et al.
This Paper offers some new views on a Nd:YAG PUMP intracavity Raman frequenc shift laser with high conversion efficiency(27.5X) of the first order of Stokes, which is twice of that with Raman oscillator extracavity. The wave length of PUMP is 1.06um. Raman active medium is methane gas(65-75atm). The output of the device is main the first order of Stokes(1.54μm), no PUMP at all. A new ideal model, optimal design for Raman cavity, and theoretical analysis are presented in this Paper. The result of our theoretical calculation is near consistent withthat of experiment. The eyesafe laser rangefinder with the Nd:YAG intracavity Raman oscillator arrangement has not been covered in the international documents.
Invited Paper Fast Ion Conducting Glasses And Intercalation Compounds, Constituents Of Solid State Micro-Batteries, Characterized By Light Scattering, Luminescence And Optical Absorption
Minko Balkanski
Solid State Batteries have essentially two active components : the electrolyte and the insertion cathode. In the search for an appropriate technology of micro-solid-state batteries we have adopted the choice of the fast ion conducting glasses B203 - xLi2O yLiX, with (X = U, Cl, I, 5041, for the electrolyte and the layered semiconductor InSe or the layered MPX3 compounds, with (M = Fe, Ni and X = S, Se), for the intercalation cathode.
Structural Analysis Of Optical Fiber Preforms Using Raman Microprobe
S. G. Kosinski, D. M. Krol, Y. T. Ko, et al.
We have investigated the incorporation of aluminum and phosphorus into vitreous silica using a Raman microprobe. By studying bulk glasses of varying Al/P ratio we have identified the presence of different structural units in the glass and used these to analyze radially their distribution in optical fiber preforms. Our analysis has shown the presence of P=0 and AlPo4-like structures, whose relative concentration depends on glass composition. In addition, the results give evidence for both phosphorus and aluminum diffusion in optical fiber preforms prepared by MCVD.
Raman Spectroscopy Of Glass-Crystauine Transformations
E . Haro, M . Balkanski
Glass-crystalline transition is induced by laser irradiation on a GeSe bulk glass sample. The structural changes are detected by Raman spectroscopy. The speed of the crystallization process depends on the laser irradiation intensity. We have studied this crystallization process for three different powers of irradiation. It is found that the speed of crystallization increases with power. Stokes and anti-Stokes spectra were recorded during the transformation. From this data temperature was inferred at different stages of crystallization. The significance of this temperature is discussed.
Raman Spectroscopy Of The 90K Superconductor YBa2Cu307_8 And Its Related Phases
Z. Iqbal, S. W. Steinhauser, A. Bose, et al.
The current state of the Raman spectroscopy of YBa2Cu307_8 (referred to as the Y123 material) is briefly reviewed. The Raman-active Cu-0 stretching modes in the Y123 structure are assigned. The extraneous line at 632 cm 1 which occurs with variable intensity in differently prepared samples appears not to be associated with either the Y2BaCu05 (green) or the BaCuO2 impurity phases. It is probably due to a structural defect in the crystalline grains of the Y123 phase. Preliminary results on thin films of a nominal Y213 composition, on the oxygen-deficient tetragonal Y123 phase and the recently synthesized Y336 phase, are also reported.
Raman Scattering From Rapid Thermally Annealed Tungsten Silicide Thin Films
Howard E. Jackson, Joseph T. Boyd, U. Ramabadran, et al.
Raman scattering as a technique for studying the formation of tungsten silicide is discussed. The tungsten silicide films were formed at temperatures up to 1350 C by rapid thermally annealing for 20 seconds tungsten films which had previously been sputter deposited on silicon substrates. The Raman data are correlated with sheet resistance measurements and scanning electron microscopy to show that rapid thermal annealing at higher temperatures for shorter times provides lower resistance tungsten silicide than reported in previous work.
Unenhanced Raman Spectroscopy Of Langmuir-Blodgett Monolayers
N. E. Schlotter
Raman spectroscopy is a useful probe of molecular structures and interactions. Until recently applications to surface layers and thin films was limited by a lack of sensitivity or the need to use enhancement effects which often lead to ambiguities in the interpertation of the resulting spectra. Advancing detector technology and sampling techniques are beginning to change this situation. Preliminary studies on Langmuir - Blodgett films have demonstrated the possiblity of obtaining conventional, or unenhanced, Raman spectra from a single monolayer. Use of waveguide sampling techniques can improve detection sensitivity and polarization measurements even further. Development of CCD and OMA types of array detectors used in these experiments will be discussed. Applications to surface phenomena such as: adhesion, corrosion, tribology, electrochemistry, catalysis, the structure of optically nonlinear films, and surface chemical modification are but a few of the technologically impacted areas.
Molecular Structure Of Six Te1-X Glasses And Oxygen Alloying Effects
P. Boolchand, B. Norban, R. Enzweiler, et al.
Raman vibrational modes, Mossbauer Electric Field Gradients and crystallization temperatures exhibit threshold behavior near the composition x=0.20 in binary SixTel_x glasses. This threshold is evidence of a morphological structural change that may be driven by average coordination number. At x.0.20, the glass structure largely consists of Ten chain segments cross-linked by Si atoms and this structure is characteristic of other IV-VI semiconductors. At x Z 0.20, Ten chains reconstruct with tetrahedral Si(Te112)4 units and nucleate a defectridden Si2Te3-like closed packed layer structure which is more metallic in character. Oxygen alloying effects in the semiconducting phase are qualitatively different from those in the metallic phase. The presence of oxygen drastically alters the kinetics of crystallization of the alloy glasses.
Invited Paper GaAs High-Gain Photodetectors : Attractive Devices For Raman Spectroscopy
Monique T. Constant, Didier J. Decoster
The purpose of this paper is to present GaAs photodetectors which are suitable for Raman Spectroscopy. After a description of the devices and their technology, a complete analysis of their electrical and optical properties is presented. The results obtained are reviewed briefly in terms of static and dynamic responsivities or gains and noise figures. An example of the application of these devices in a conventionnal Raman detection system and the performances of the photodetector array in image detection are given. Furthermore, the use of the Schottky photodiode associated with an FET in Raman spectroscopic systems is discussed.
Guidelines For The Selection Of Raman Multichannel Detectors
Yair Talmi
The performance characteristics of seven Raman multichannel detectors are discussed. The detectors are proximity-focused MCP image-intensified diode array (P/IPDA), electrostatically-focused MCP image-intensified diode array (E/IPDA), double MCP image intensified diode (D/IPDA), dual, MCP image-intensified diode array (DIDA), charge couple device (CCD), intensified charge couple device (ICCD) and intensified resistive anode (IRA): also known as MEPSICRON. The main objective of this paper is to provide Raman spectroscopists with a comparative performance information that should facilitate the selection of the optimal detector for a particular application.
Detection Of Faint Luminescence From Faulty Action In Integrated Circuits
Floyd J. James, Paul Miller
Silicon devices, both MOS and bipolar, emit light at sites of faulty device action. Consequently, the combination of detection of such light and the location of its emission is highly useful in device failure analysis. This is especially true for the complex very-large-scale integrated circuits, which often contain tens of thousands of transistors, making it difficult topinpoint the primary failure location by other means. We will report on a sensitive and sophisticated apparatus for accomplishing these &oals. Involved are an optical microscope, video cameras of high sensitivity for the emissions, and a powerful digital image processor, which greatly extends the ability to detect faint self-luminescing sites in the presence of video noise. The emitted light is the result of two distinct mechanisms. One mechanism is recombination of excess minority carriers in forward-biased junctions. This occurs often in a parasitic p-n-p-n structure common to CMOS circuits. If the p-n-p-n "latches", that is, large current flows irrespective of the device normal functions, two junctions are heavily forward biased. The emitted lisht is in a narrow band at 1.15 pm, and generally of very low intensity, as the probability of a radiative recombination is very small. The other mechanism is that of impact ionization, which results in broad-band emissions throughout the visible and into the near IR. This emission is characteristic, for example, of avalanche breakdown. But, it is of particular interest in state of the art short channel MOS devices, where hot carriers in the channel can result in instabilities of theg ate oxide.
Hadamard Transform Raman Spectroscopy
W. G. Fateley, D. C. Tilotta, James E. Griffiths
Hadamard transform spectroscopy is showing signs of emerging again even though turf protectors would prefer that it remain benign. In this discussion, some of its advantages, and there are some, and some of its disadvantages, and there are some of those as well, are discussed. Competing approaches including the classical dispersive method and Fourier transform spectroscopy are included in the discussion especially where the comparisons are relevant to applications in Raman spectroscopy.
Fourier Transform Infrared Photoluminescence
Nelson L. Rowell
A commercial Fourier transform spectrometer has been modified to measure recombinant radiation (photoluminescence) under argon laser excitation from semiconducting and insu-lating solid samples at low temperatures. The experimental method is described detailing the criteria for optimizing the instrumentation and the limitations of the technique. The photoluminescence of following materials was studied as a function of laser intensity and wavelength and at various temperatures: (a) the large gap, indirect semiconductors Si, Ge, and SizGei_x, (b) the amorphous semiconductor a-Si:H, (c) the large gap, di-rect semiconductors, GaAs, InP, CdTe and Ga,Ini_,As, (d) the narrow gap, direct semiconductor, InSb, and (e) the insulator, KZni_1Co1F3, an infrared laser material.
Fourier Transform Photoluminescence Analysis Of Semiconductor Materials
W. M. Duncan, M. L. Eastwood
In this paper we describe Fourier Transform Photoluminescence (FTPL) spectroscopy and discuss its application to three semiconductor systems: (i) indirect wide band gap silicon, (ii) direct wide band gap GaAs and (iii) direct narrow band gap semiconductors. Included in the discussion of each of these systems is background work carried out using conventional photoluminescence spectroscopy as well as new results from FTPL spectroscopy. Results from studies of semiconductor silicon are presented for quantitative trace impurity analysis and for analysis of processing induced microdefects. Application of FTPL to GaAs includes the identification of shallow impurities, defects and transition metal impurities. Finally, results from FTPL spectroscopic studies of InAs and InSb are presented to demonstrate the applicability of the method to narrow gap materials.
Polymer Thin Film Waveguide Sensors: Characterization Of Scattered Light Intensity At The Waveguide Surface
J. T. Ives, W. M. Reichert, J. D. Andrade
The evanescent and scattered light from poly(styrene) thin film waveguides are being investigated to evaluate the potential use of integrated optic waveguides as sensors. A fiber optic system is described for measuring the light intensity of different waveguide modes in two directions; perpendicular to the waveguide streak and parallel to the streak as a measure of decay. Rayleigh and poly(styrene) Raman scattered light are used as intensity indicators and the advantages and disadvantages of each are discussed. Profiles of Raman scattered light across the waveguide streak are shown as a function of position along the waveguide. Decay measurements of four waveguide modes along a single waveguide are divided into three regions with different rates of decay and possible causes. These results show that the excitation field along the surface of 10 waveguides is predominantly scattered light rather than surface localized evanescent light.
A System For Semiconductor Characterization By Both Photoluminescence And Raman Spectroscopy
F. J . Purcell, Raymond Kaminski
Characterization of semiconductor materials has been rapidly enhanced by the application of photoluminescence and laser-Raman spectroscopy. Photoluminescence is uniquely suited for identifying impurities as well as mapping whole wafers to determine the distribution of dislocation densities or impurity clusters over the surface. Assessment of subsurface damage is also possible. Such data has been correlated with the threshold voltages of finished devices. Laser-Raman spectroscopy, on the other hand, will identify surface contaminants and determine lattice disorder, residual strain and free-carrier density. Separate, dedicated instruments are typically employed for each type of investigation, In this paper, the authors present an automated system that delivers both photoluminescence and Raman capabilities. Wafers up to 4 inches in diameter were characterized at room temperature and while cooled by liquid helium. Sample materials included Si, AlGaAs, GaAs, LiNbO and In?. Information from spectra, wafer maps and peak shifts is presented and discussed. The quality of semiconductor devices and their mass-production yields depend largely on how well the materials from which the devices are fabricated can be characterized. Equally important is the effect of processing upon these materials. Currently, optical spectroscopy is emerging as a primary source of such information for both fundamental research and quality control in manufacturing. The non-contact and non-destructive nature of optical spectroscopic analyses is one of the most attractive reasons for the popularity of these characterization techniques. Capable of being fully automated through microprocessor control, optical spectroscopy also generates a range of data unmatched by other methods. And among the spectroscopic techniques currently available, photoluminescence and Raman spectroscopy are especially effective for characterizing semiconductor materials like silicon, gallium arsenide or indium phosphide. In its most basic form, photoluminescence (P1) involves exciting a sample with photons for higher energy than the semiconductor's band gap and observing any emission that results. In addition of providing a measure of crystal quality, photoluminescence has been used extensively to study the role of dopants in the production of Si, semi--insulating GaAs and InP. In the evaluation of ion-implanted III-V semiconductor, photoluminescence has been employed to map the distribution of impurities, surface degradation from implantation and annealing, as well as lattice reconstruction during annealing. Raman spectroscopy complements photoluminescence characterization by providing a more comprehensive structural and molecular picture of semiconductor materials . The important parameters of a Raman semiconductor spectrum are the peak position and line shape of the longitudinal optic (LO) and transverse optic (TO).