Proceedings Volume 0811

Optical Microlithographic Technology for Integrated Circuit Fabrication and Inspection

Harry L. Stover, Stefan Wittekoek
cover
Proceedings Volume 0811

Optical Microlithographic Technology for Integrated Circuit Fabrication and Inspection

Harry L. Stover, Stefan Wittekoek
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 17 September 1987
Contents: 1 Sessions, 25 Papers, 0 Presentations
Conference: Fourth International Symposium on Optical and Optoelectronic Applied Sciences and Engineering 1987
Volume Number: 0811

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • All Papers
All Papers
icon_mobile_dropdown
Recent Developments In Electron Beam Lithography
S. Radelaar
Electron beam pattern generators are nowadays used extensively for the production of masks and for direct writing on wafers. For obvious reasons electron beam pattern generators are optimized for integrated circuit fabrication. However there is also considerable potential for the use of electron beam lithography in other areas. In this paper recent trends in the development of electron beam pattern generators are described and the problems encountered in the application of electron beam machines in other areas e.g. integrated optics are discussed.
Mass-Production Of Diffraction Limited Replicated Objective Lenses For Compact-Disc Players.
Joop Andrea
The objective lens is a single element glass lens with one aspheric replicated surface and one flat surface. The manufacture of the aspheric surface by means of replication is suitable for high quality mass-production.
CD Control Issues In Submicron Optical Lithography
Mung Chen
Two CD control issues are discussed in this paper. One is on the subject of defocus caused by the local flatness variations of a silicon wafer. The second deals with the optical proximity effects. The use of high NA lenses to achieve submicron resolution has resulted in much diminished available depth of focus. The local wafer flatness variation, which was considered to be a negligible source of defocus for older technologies, now accounts for a significant part of the total available error budget. A method of characterizing wafer flatness using the auto-focus system of a stepper is described. Data from a comparison of wafer samples from two vendors are presented. The implication of edge exclusion and wafer leveling is discussed, and the impact on CD control is demonstrated. In order to address this issue, the participation of the stepper and wafer manufacturers is absolutely essential. The optical proximity effects, which were also negligible for Minimum Feature Size of greater than 1 micron, are becoming an important consideration for achieving CD control, as the lithographic tools are being operated at closer to the resolution limit. Both simulation and experimental data are presented, and their impact on devices is discussed. A co-ordinated effort between several engineering disciplines is required to effectively resolve this issue and achieve optimum device yield.
Quality Of Microlithographic Projection Lenses
Joseph Braat
The quality of a finished objective for microlithographic applications depends on factors like the design data, the material properties and the manufacturing precision which can be achieved. With the paper design of an h-line lens (N.A.=0.38, field diameter 20mm) as a guideline we present data on the quality as predicted by the design and on the imperfections to he expected in a finished product.
Submicron Optical Lithography With High Resolution I-Line Lens
L. Sebastian, N. Lehner, F. Bieringer, et al.
This paper reports about a submicron optical lithography based on the Zeiss i-line lens 10-78-48 which has a superior resolution due to a high numerical aperture of 0.42 and reduced wavelength of exposure. The first prototype of this lens has been incorporated into a GCA DSW-6300 waferstepper and was extensively used in a CMOS process with 0.8-μm-technology. Problems of this first application of i-line lithography are the main subject of this paper emphasizing the vanishing margin of depth of focus and the interference of i-line aerial image with standard g-line resists. A characterization of the lens performance is presented as well as a summary of our experiences with low absorbing photoresists which are better adapted to i-line exposure.
Interference Microscopy Of Surface Relief Structures
D. M. Gale, M. I. Pether, F. C. Reavell
A high resolution Linnik interference microscope has been constructed to record one dimensional phase and intensity images of line structures on integrated circuit wafers. The phase is measured by recording three interferograms with differing phases of the reference beam with respect to the object beam. Experimental results are presented for two test structures. Images obtained from the microscope are compared with those predicted by a theoretical model of image formation.
Practical Photolithography For Modern Semiconductor Production
Walter G. Hertlein
The impact of some photolithographic processing parameters on the final production results in the modern semiconductor device manufacture and also their definition, is discussed under the aspect that microlithographic manufacturing processes are working closer to the limits of the technology. Quality engineering includes good characterization of all manufacturing steps, of all used equipment and materials, in order to minimize the appearance of variances arising either from inside or being imported from outside sources. The objective is to have fully controlled and understood processes, which yield well performing semiconductor devices at reasonable costs in todays production and which will allow further technological progress in the microelectronics industry.
The Mechanism Of The Desire Process
B. Roland, R. Lombaerts, C. Jakus, et al.
Multilayer resist techniques have been studied for several years already. Especially with the trilayer systems very good results can be obtained, but only at the expense of added complexity. Their complexity has caused some reluctance to implement these techniques into IC manufacturing lines. Using the PLASMASK resist in the so called DESIRE process, even better performance can be obtained, without any of the disadvantages of multilayer techniques. The process is based on a silylation which results from the selective diffusion of a silylating agent into the resist matrix. The basic chemistry that enables this differentiation in diffusion is discussed, as well as the principle advantages of the diffusion-controlled process.
Mechanism And Kinetics Of Silylation Of Resist Layers From The Gas Phase
Robert-Jan Visser, Jack P. W. Schellekens, Marian E. Reuhman-Huisken
The silylation from the gas phase of photoresists based on diazoquinone and novolac or polyvinylphenol, which can be used in dry developable systems has been investigated. It is shown that the phenolic hydroxyl groups are almost completely silylated. The kinetics of the reaction have been followed by gravimetry, IR spectroscopy and Rutherford backscattering spectrometry. During the reaction a completely silylated, swollen layer is formed with a sharp front separating it from the unreacted resin. The rate control-ling processes are the relaxation of the polymer and the diffusion of the reagent. When the relaxation is slow with respect to diffusion, linear reaction kinetics as in Case II diffusion are observed. When the relaxation is fast the reaction proceeds with the square root of time. The increase of the reaction rate with UV exposure of the resist is attributed to an increase in the relaxation rate of the resist. A model explains the higher photoselectivity of the reaction at elevated temperatures. Results with a number of model resists indicate that some diazoquinones can act as physical crosslinks between polymer chains via the formation of hydrogen bonds whereas the corresponding in-denecarboxylic acids cannot. Due to the high content of silicon after the treatment these resists become highly etch-resistant towards oxygen plasmas.
A Novel Technique For The Control Of Resist Profiles When Exposing With Steppers.
F. Debaene, J. M. Dumant, B. Latombe
To improve reliability of metal covering in a CMOS 1 Micron advanced technology, a sloping of vias during etching is required. This needs a photoresist sidewall action, since standard sidewalls created in step and repeat lithography are close to 85°. As classical thermal flow techniques are not suitable for small dimensional patterns, a new method has been developed, which uses the partial resolution of submicron patterns through the lens of a stepper. Such a technique requires modification of .the reticle but in no way changes the normal lithography process. Using this method, it is possible to reproducibly obtain slopes as low as 55°. A complete parametric experimental study, simulation results and pilot line applications are presented. This new technique has excellent repeatibility and process control, and has therefore been successfully put into application in the fabrication of vias in a double metal technology as well as for increasing the contrast of alignment marks in an automatic alignment system. The etching process of the vias is therefore simplified.
Residues Formation And Surface Contamination In Submicronic Definition Multilayers Structures Obtained By Reactive Ion Etching
J. Etrillard
A systematical study of the formation of residue and surface pollution has been made to permit the utilization of multilayers structures in optoelectronical III-V semi-conductor devices. To obtain a very high resolution, (30 nm line width) a precise characterization of the influence of parameters involved in reactive ion etching is necessary. In particular, it is important to avoid the residue formation which annihilate the intrinsic high definition possibilities of RIE applicated to organic materials. We show how this residue formation depend of plasma parameters. The evolution is noticeable with the pression of the etching gas. The shape and density are dependant on the nature of the organic material etched. The surface pollution is very dependant on the nature of the material which constituate the reactor but also depend of ion energy and duration of surface-plasma interface. We present the main problems issued from these surface residues and pollution in the following operations of etching or lift-off in a total process of fabrication. This process is now used in optoelectronic integration.
Prediction Of Shelf-Lives Of Positive Photoresists Based On Accelerated Aging Techniques Kinetic Evaluation Of Various Parameters
G. Wijbenga, M. S. Chen, S. A. Fine
An overview of a model for aging of positive photoresists is presented. Photoresist samples were held at different temperatures for varying periods of time. Physical and spectral properties were monitored to determine rates of change as a function of temperature. Since the resists contain photoactive diazonaphthoquinones, which slowly decompose when heated, photospeed and nitrogen evolution were measured to obtain information about the decomposition rates of the photoactive compounds. Kinetics of change of coating thickness, viscosity, contrast, unexposed thickness loss, Dill parameters, and CO2 evolution were also determined to better understand the aging process in resists.
Measurement Facilities On A Laser Ionization Mass Spectrometer
A. Czitrovszky, P. Jani, P. Juhasz, et al.
Basic parameters of a laser ionization time of flight mass spectrometer (LI-TOF-MS) developed for the microelectronic industry are discussed. The system consists of three parts i.e. optical system, the TilF mass spectrometer with ion reflector and the data acquisition and evaluation system. Laboratory experiments were carried out on carbon foils for calibration purposes.
Application To Bilayer System With Water-Soluble Contrast Enhancing Material
Mitsuo Yabuta, Naoki Ito, Hiroyuki Yamazaki, et al.
We have developed ,a water-soluble contrast enhancing material, TAD-436 ( Tokyo Ohka. Anti-Defocus Material ) which is consisted of a water-soluble diazonium salt as bleaching compounds and a water-soluble anion type polymer as binder polymers. Needless to say that water is used as solvent in TAD; therefore, it can be spincoated directly on a positive photoresist layer of a quinonediazide-novolak resin type without causing intermixing and furtheremore the bilayer can be developed without stripping TAD immediately after exposure. TAD shows a satisfactory bleaching characteristics on g-line, increases r-value of underlying photoresist and reduces the thickness loss of photoresist layer in unexposed area. Application to bilayer system with TAD will raise the resolution of underlying photoresist and when the focus depth is changed it will make the change in the resist profile small. As the result of it, the notches in the resist patterns on steps is reduced, making the difference in the linewidth between the top and the bottom of steps small.
Registration Accuracy And Critical Dimension Control For A 5X Reduction Stepper With Magnification Control
F. J. van Hout, M. A. van den Brink, S. Wittekoek
Today, the resolution of state-of-the-art microlithography lenses is well within the submicron region. There are many indications that the historical drive to better resolution will continue at least for the coming years. In order to fully utilize this improved lens performance in wafer steppers the alignment overlay accuracy has to improve in a similar way, thus increasing total yield. As a rule-of-thumb in current IC design the overlay accuracy should not exceed approximately 20% of the lens resolution. Hence, the overlay accuracy of a wafer stepper capable of printing 0.7 pm features should be around 0.15 μm. At the same time, the requirements for illumination and focussing/levelling accuracy become tighter to enable effective use of these high aperture lenses. In addition, factory requirements for IC production equipment now stipulate completely automatic operation as well as 'clean' design to ensure that both wafers and reticles remain absolutely clean during the IC manufacturing process.
I-Line Wafer Stepper Technology For Gallium Arsenide Applications
Michele Nuhn, Shi-Kay Yao, Brad Avrit
The ASET i-line, 0.42 N.A. high resolution 10X stepper routinely achieves 0.5 micron lines/spaces over a planar surface and 0.6 micron lines/spaces over topography across the 13 mm diameter field. This paper reports the application of i-line technology to the production of Gallium Arsenide Integrated Circuits.
Advances In 1:1 Optical Lithography
Adonis C. Stephanakis, Daniel I. Rubin, Ron Voisin
Numerous advancements based on the inherent simplicity and excellent optical correction of 1:1 broadband stepper lenses have occurred over the past year. This paper briefly touches on the lithographic requirements of various segments of the semiconductor industry and describes photolithographic developments that meet many of these requirements.
An Advanced Wafer Stepper For Sub-Micron Fabrication
Herbert E. Mayer, Ernst W. Loebach
An advanced wafer stepper is presented addressing the specific problems involved by sub-micron lithography such as alignment and focusing to multilayer resist films. New sub-systems were developed while maintaining principles well proven in a previous design. The system is described emphasizing the new sub-systems, and performance data are presented.
Bilevel System HPR/PMMA
Andre P. Weill, Elisabeth C. Dechenaux, Patrick J. Paniez, et al.
A two layers HPR/PMMA lithographic process is developped in order to overcome the limitations of the single layer scheme when over aluminium steps. Results of PMMA coating and step coverage, HPR patterning, descum of the interfacial layer, image development are presented and discussed in terms of material properties.
Positive Near-UV Resist For Bilayer Lithography
R. Sezi, R. Leuschner, c. Nolscher, et al.
A novel alkaline-soluble silicon-containing copolymer for use in bilayer lithography has been developed. This copolymer (CVPVS)consists of p-vinylphenol and vinyltrimethylsilane and was prepared in a two-step process: anionic polymerization of a p-alkoxystyrene with vinyltrimethylsilane followed by ether cleavage of the alkoxy group. The copo-lymer used for lithographic application has a number average molecular weight of 2700 and shows very good solubility in a variety of organic solvents. CVPVS has nearly no absorption above 300 nm and at 248 nm its absorption is six times lower than that of a commercially available poly(p-vinylphenol). The silicon-containing photoresist (SPR) prepared from this copolymer and a diazoquinone photosensitizer is completely compatible with current resist processing.
Characterization of commercial dyes for PMMA bilayer systems
Patrick J. Paniez, Gilles R. Amblard, Elisabeth C. Dechenaux, et al.
The absorption properties of the well-known coumarin dyes are evaluated at the 436 nm g line for PMMA bilayer systems. Their properties are compared with those of other commercial products. The main requirements to be met are : good solubility in PMMA solutions, an absorption spectrum adapted to bilayer processes and thermal stability. Several azo dyes have been selected from among a large number of compounds and are proposed as an advantageous alternative to coumarin dyes. The implementation of one of these selected dyes in a bilayer process is given as an example. A good dimensional control is obtained for 1 μm lines over critical topographies.
Characterization Of A New Organosilicon Photoresist
Wells C. Cunningham Jr., Janet C. McFarland, Chan-Eon Park
For a number of years, there has been great interest in organometallic based photoresists for use as the top layer in multilevel resist schemes. In general, bilevel approaches to lithography are forced upon the industry as a means of planarizing topography for a subsequent patterning step. This pattern is initially defined by exposure and development of a thin top layer (0.3 to 0.5um) over the thicker bottom layer (1.0 to 2.0um). In a conventional bilevel approach, the chosen bottom layer is photoactive at a wavelength for which the top is relatively opaque. The top level acts as a portable conformable mask (PCM) for image transfer through the bottom layer after its exposure and wet development. By using a silicon containing photoresist on the top image transfer may be accomplished using an oxygen plasma instead of a second exposure and development. The PCM in this case acts as an etch mask by forming a silicon dioxide crust in the plasma which slows the etch rate of the top versus the bottom layer. A generic curve of etch rate of a photoresist versus percent pilicon by weight is shown in Figure 2. The shape is similar over a wide range of organos il icon polymers.
A Contrast Enhanced Reflectionless Process (CER)
J. Ch. Guibert, M. Chevallier
A new multilayer resist system for lithography has been developed for VLSI production with submicron geometries. Antireflective coating is used in combination with a novolac resist and a Contrast Enhancement Material. The characteristics of this system, along with its advantages, disadvantages and results are discussed for its use over critical levels.
Vitreous Chalcogenide Gesey Thin Films Obtained By Plasma Enhanced Chemical Vapor Deposition
M. Ribes, B. Cros, P. Julien
GeSe glass films have been deposited in a hot wall glow-discharge machine using germaneY(GeH4) hydrogen selenide (H2Se) and nitrogen as a carrier gas. Eighteen four inches wafers were processed simultaneously. To obtain the best results the parameters such as pressure, temperature, nature of carrier gas, gas flow, plasma power have been optimized.
Reactive Ion Etching Of Silicon Dioxide
Peter C. Sukanek, Glynis Sullivan
The etch rates of thermally grown and CVD-deposited silicon dioxide were studied in three different reactive ion etch reactors, parallel plate, hexode and single wafer. Carbon-fluorine chemistry was used, and each reactor operated at 13.56 MHz. Assuming the etch rate to be a linear function of the DC bias and using an empirical relationship between bias and the ratio of pressure to power, a good correlation for the rate in each reactor was obtained. In addition, it was found that by plotting a normalized etch rate against the pressure to power ratio, data from all three reactors, as well as other data reported in the literature, could be correlated by a single line for each oxide.