Share Email Print

Spie Press Book

EUV Lithography, Second Edition
Editor(s): Vivek Bakshi
Format Member Price Non-Member Price

Book Description

Extreme ultraviolet lithography (EUVL) is the principal lithography technology—beyond the current 193-nm-based optical lithography—aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field.

Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography—light source, scanner, mask, mask handling, optics, optics metrology, resist, computation, materials, and optics contamination. These challenges have been effectively resolved, and several leading-edge chipmakers have announced dates, starting in 2018, for inserting EUVL into high-volume manufacturing.

This comprehensive volume comprises contributions from the world’s leading EUVL researchers and provides the critical information needed by practitioners and those wanting an introduction to the field. Interest in EUVL technology continues to increase, and this volume provides the foundation required for understanding and applying this exciting technology. This book is intended for people involved in one or more aspects of EUVL, as well as for students, who will find this text equally valuable.

;

Book Details

Date Published: 8 February 2018
Pages: 758
ISBN: 9781510616783
Volume: PM283

Table of Contents
SHOW Table of Contents | HIDE Table of Contents


Table of Contents

Foreword to the Second Edition
Preface to the Second Edition
List of Contributors
List of Acronyms and Abbreviations

1 EUV Lithography: An Historical Perspective
Hiroo Kinoshita and Obert Wood
1.1 Introduction
1.2 The Early Stage of Development: 1981 to 1992
1.3 The Second Stage of Development: 1993 to 1996
     1.3.1 Two-mirror imaging system development
     1.3.2 Three-mirror imaging system development
     1.3.3 MOS device demonstration using EUVL
1.4 Other Developments in Japan and Europe
1.5 The Development of Individual Technologies
     1.5.1 Selection of the exposure wavelength
     1.5.2 Design of reflective imaging systems
     1.5.3 Fabrication and evaluation of aspherical mirrors
     1.5.4 Multilayer coatings and reflection masks
     1.5.5 EUV resist development
     1.5.6 EUV light source development
1.6 EUVL Conferences
1.7 Summary
Acknowledgments
References

2 The EUV LLC: An Historical Perspective
Stefan Wurm
2.1 Introduction
     2.1.1 Background
     2.1.2 Need for a revolutionary approach
2.2 Formation of the EUV LLC
     2.2.1 Vision
     2.2.2 Implementation
     2.2.3 Organizational structure
     2.3.4 Documentation
2.3 Program Structure
     2.3.1 Organization
     2.3.2 Risk management
     2.3.3 Reporting
     2.3.4 Documentation
2.4 Program Results
     2.4.1 Technical accomplishments
     2.4.2 IP portfolio
     2.4.3 Program statistics
     2.4.4 Delays
2.5 Retrospective Observations
     2.5.1 Improvements
     2.5.2 External issues
     2.5.3 Benefits
2.6 Status of EUV Development at the End of the EUV LLC
     2.6.1 Risk reduction
2.7 Summary
Appendix 2A: Major Accomplishments of the EUV LLC Program
Appendix 2B: EUV LLC Program Patents
Acknowledgments
References

3A EUV Sources for High-Volume Manufacturing
Igor V. Fomenkov, David C. Brandt, Alexander I. Ershov, Alexander A. Schafgans, Yezheng Tao, Georgiy O. Vaschenko, and Bruno La Fontaine
3A.1 Introduction to EUV Light Sources
3A.2 EUV Source Requirements
3A.3 Laser-Produced Plasma Source System
     3A.3.1 EUV power scaling
     3A.3.2 Tin target delivery
     3A.3.3 Pre-pulse technology
     3A.3.4 EUV collector
     3A.3.5 Debris mitigation
     3A.3.6 Hydrogen as a buffer gas
     3A.3.7 Tin management
     3A.3.8 Controls
3A.4 Conclusion and Acknowledgment
References

3B EUV Sources for High-Volume Manufacturing
Hakaru Mizoguchi, Taku Yamazaki, Tatsuya Yanagida, Krzysztof M. Nowak, and Takashi Saito
3B.1 Introduction
3B.2 High-Power LPP EUV Light Source with Pre-pulse Technology
     3B.2.1 System concept
     3B.2.2 Tin droplet generation technology
     3B.2.3 Pre-pulse technology and high-CE operation
     3B.2.4 Superconducting magnet debris mitigation method (SM3) and the collector mirror
     3B.2.5 Driver CO2 laser system
3B.3 250-W HVM LPP-EUV Source
     3B.3.1 Pilot #1 system construction
     3B.3.2 CE measurements and improvement
     3B.3.3 Latest data of the Pilot #1 system
     3B.3.4 Change of collector mirror reflectance during operation
3B.4 Summary and Future Outlook
References

4A The EQ-10 Electrodeless Z-PinchTM Metrology Source
Stephen F. Horne, Matthew M. Besen, Paul A. Blackborow, Ron Collins, Deborah Gustafson, Matthew J. Partlow, and Donald K. Smith
4A.1 Operating Principles
4A.2 Diagnostics for the EQ-10
4A.3 Source Performance
     4A.3.1 Power and brightness
     4A.3.2 Spatial and temporal stability
4A.4 Typical Installations
4A.5 Conclusions
References

4B High-Brightness LDP Source for Mask Inspection
Yusuke Teramoto
4B.1 Introduction
4B.2 LDP System Configuration
4B.3 EUV Brightness and Power
4B.4 EUV Spectrum and Out-of-Band Radiation
4B.5 Stability and Reliability
4B.6 Source Cleanliness
4B.7 Summary
Acknowledgments
References

5 Optical Systems for EUVL
Sascha Migura, Winfried Kaiser, Jens Timo Neumann, Hartmut Enkisch, and Dirk Hellweg
5.1 Introduction
5.2 Optical Systems: Beginning and Present
     5.2.1 Introduction
     5.2.2 Beginning: collaborations and funding in Europe
     5.2.3 Early optical systems: MET, HiNA, and SFET
     5.2.4 Prototypes: Alpha Demo Tool and EUV1
     5.2.5 Preproduction system: Starlith® 3100
     5.2.6 HVM: Starlith® 3300/3400 family
5.3 The Future: High-NA
     5.3.1 Introduction
     5.3.2 Optical system for high-NA
     5.3.3 Theoretical background
     5.3.4 Conclusion on optical systems for EUVL
5.4 Optical Modules: Collector
     5.4.1 Introduction
     5.4.2 EUV collector types
     5.4.3 Grazing-incidence collectors
     5.4.4 Normal-incidence collectors
5.5 Optical Modules: Illuminator
     5.5.1 Introduction
     5.5.2 Key performance parameters
     5.5.3 Realization options
     5.5.4 Pupil tuning
     5.5.5 Technical challenges
5.6 Optical Modules: Projection Optics
     5.6.1 Introduction
     5.6.2 Basic design specifications
     5.6.3 Optics manufacturing: mirror surface
     5.6.4 Modeling and simulating stray light and flare
     5.6.5 Optics manufacturing: mirror coating
5.7 Mask Inspection: The ZEISS AIMS EUV
     5.7.1 Introduction
     5.7.2 Principle and application
     5.7.3 System concept
     5.7.4 Tool capabilities
     5.7.5 Tool performance
     5.7.6 Summary
Acknowledgments
References

6A Optics Contamination
Charles S. Tarrio, Shannon B. Hill, Robert F. Berg, and Saša Bajt
6A.1 Introduction
     6A.1.1 Background
     6A.1.2 The chemical processes
6A.2 Optics Contamination Research
     6A.2.1 Carbonization and oxidation: photons or photoelectrons
     6A.2.2 The elimination of oxidation as a problem: requirements for oxidation-resistant cap layers
     6A.2.3 Development of contamination-resistant cap layers
6A.3 Optics Contamination Experiments
     6A.3.1 Facilities
     6A.3.2 Carbonization: admitted-gas studies
6A.4 Resist Outgas Testing
     6A.4.1 Early measurements
     6A.4.2 Witness-sample testing
     6A.4.3 Witness-sample testing: nonconventional resists
6A.5 Cleaning and Contamination Control
6A.6 Summary and Future Outlook
References

6B Collector Contamination: Normal-Incidence (Multilayer) Collectors
Daniel T. Elg, Shailendra N. Srivastava, and David N. Ruzic
6B.1 Introduction
6B.2 Overview of Normal-Incidence Collector Mirrors
6B.3 Collector Performance
     6B.3.1 Debris mitigation and contamination
     6B.3.2 Atomic hydrogen cleaning
     6B.3.3 Hydrogen plasma cleaning
     6B.3.4 Compatibility of multilayer mirrors with H2 plasma
6B.4 Summary
Acknowledgments
References

7 EUV Mask and EUV Mask Metrology
Jinho Ahn and Chan-Uk Jeon
7.1 Introduction
7.2 EUVL Mask Structure and Process Flow
7.3 Mask Substrate
     7.3.1 Thermal expansion coefficient
     7.3.2 Surface figure requirements
     7.3.3 Defect requirements
7.4 Multilayer and Backside Conductive Coating
     7.4.1 Multilayer deposition process
     7.4.2 Multilayer characterization
     7.4.3 Multilayer performance improvement techniques and defect mitigation
     7.4.4 Capping layer
     7.4.5 Backside conductive coating and mask handling
7.5 Absorber Stack and Pattern Fabrication
     7.5.1 Absorber layer
     7.5.2 Antireflection coating
     7.5.3 E-beam patterning
     7.5.4 Absorber stack etch
7.6 Mask Inspection, Metrology, and Repair
     7.6.1 Multilayer defect inspection
     7.6.2 Absorber pattern inspection/dd>
     7.6.3 Multilayer defect repair
     7.6.4 Multilayer defect compensation
     7.6.5 Absorber defect repair
     7.6.6 Next-generation repair
     7.6.7 Aerial image metrology
7.7 Mask Contamination Protection and Cleaning
     7.7.1 Pellicle
     7.7.2 Mask cleaning
7.8 Advanced Mask Structure for Better Imaging
     7.8.1 Shadowing effect
     7.8.2 Bossung curve asymmetry and focus shift
     7.8.3 Alternative mask structures
     7.8.4 Etched ML binary mask
     7.8.5 Attenuated phase shift mask
     7.8.6 Alternating phase shift mask
     7.8.7 Black border
     7.8.8 Mask design for high-NA
7.9 Summary and Future Outlook
Acknowledgments
References

8 Photoresists for EUV Lithography
Robert L. Brainard, Mark Neisser, Gregg Gallatin, and Amrit Narasimhan
8.1 Introduction
8.2 Earliest EUV Resist Imaging
8.3 Absorption Coefficients of EUV Photoresists
     8.3.1 Definitions of transmission and absorption
     8.3.2 Early absorption goals
     8.3.3 A change in absorption goals: from light to dark
     8.3.4 Measuring absorption of actual resist films
8.4 Mechanisms of Resist Exposure to EUV Light
     8.4.1 Introduction
     8.4.2 The physics of EUV exposure mechanisms
     8.4.3 The chemistry of EUV exposure mechanisms
     8.4.4 Maximum quantum yield in chemically amplified photoresists
     8.4.5 Total electron yield in EUV photoresists
     8.4.6 Mechanisms of acid generation in CARs
     8.4.7 Electron travel distance in photoresists
     8.4.8 Conclusions
8.5 Organic Photoresists
     8.5.1 Polymethylmethacrylate (PMMA) resists
     8.5.2 Environmentally stable chemically amplified photoresists
     8.5.3 Low-activation-energy acetal or ketal resists
     8.5.4 Chain-scission resists
     8.5.5 ArF polymer platforms
     8.5.6 Sulfonium-based resists
     8.5.7 High-fluorine resists
     8.5.8 Non-polymer-based organic EUV resists
8.6 Characterization of Development Using AFM
8.7 Strategies for Improving Resist Sensitivity: Acid Amplifiers and Photosensitized CARs
     8.7.1 Introduction to acid amplifiers
     8.7.2 Lithographic capabilities of acid amplifiers in EUV photoresists
     8.7.3 Fluorine-stabilized AAs and olefin triggers
     8.7.4 Synthesis and preliminary lithographic performance of fluorine-stabilized polymers
     8.7.5 Photosensitized chemically amplified resists (PSCAR)
     8.7.6 Conclusions
8.8 Metal-Containing Resists
     8.8.1 Metal oxide resists from Oregon State University and Inpria
     8.8.2 Tin oxide cluster resists from CNSE
     8.8.3 Mononuclear metal resists
8.9 LER and Modeling
     8.9.1 Background: continuous and stochastic models
     8.9.2 Modeling steps
     8.9.3 Specific resist models
8.10 Benchmarking Studies: Tool Dependence and Resist Performance
     8.10.1 Introduction
     8.10.2 Interference lithography
     8.10.3 MET imaging
     8.10.4 Beta tool and production scanner imaging
     8.10.5 Comparison to ArF
     8.10.6 Comparison of organic and metal-based resists
8.11 Summary
     8.11.1 Background
     8.11.2 Mechanism of EUV exposure
     8.11.3 Types of resists
     8.11.4 Acid amplifiers and PSCAR
     8.11.5 LER and modeling
     8.11.6 Benchmarking of resists
Notes and References

9 Fundamentals of EUVL Scanners
Jan B. P. van Schoot and Johannes C. M. Jasper
9.1 Introduction
     9.1.1 EUVL scanner outline
     9.1.2 Business drivers
9.2 EUVL Projection Tool Overview: History and Future
     9.2.1 Small-field tools
     9.2.2 Full-field tools: past 0.25 NA
     9.2.3 Full-field tools: current 0.33 NA
     9.2.4 Full-field tools: future 0.55 NA
9.3 EUVL Scanner Architecture
     9.3.1 Introduction: architecture overview
     9.3.2 The optical system
     9.3.3 Mechatronic architecture
     9.3.4 Wafer and mask stage
     9.3.5 Optics and contamination control
     9.3.6 Metrology
     9.3.7 Material handling systems and clamps
     9.3.8 EUV pellicles
9.4 Outlook
     9.4.1 Future prospects
Acknowledgments
References

10 EUVL System Patterning Performance
Patrick Naulleau and Gregg Gallatin
10.1 Introduction: The Benefits of EUV Imaging
10.2 Parameters Affecting EUV Patterning Performance
     10.2.1 Partial coherence
     10.2.2 Aberrations and contrast
     10.2.3 Flare and contrast
     10.2.4 Chromeless phase-shift-mask printing in the EUV range
10.3 EUV and Aerial Image Variability
     10.3.1 LER transfer from the mask to the wafer
     10.3.2 Mask roughness effects on LER
     10.3.3 Mask roughness effects on printed contact size variations
10.4 Stochastics in Patterning
     10.4.1 Introduction
     10.4.2 Photon stochastics: an analytic description
     10.4.3 A stochastic model
     10.4.4 Photon and material effects
     10.4.5 Contact CDU limits
Acknowledgments
References

Appendix: Reference Data for the EUV Spectral Region
Eric M. Gullikson and David Attwood
A.1 Introduction
References

Index

Preface to the Second Edition

I am delighted to share with readers this second edition of EUV Lithography (EUVL) from SPIE Press. EUVL is the next-generation lithography (NGL) currently finding its way into fabs for advanced computer chip making. This book is intended for people involved in one or more aspects of EUVL as well as for students, who will find this text equally valuable. This book covers the fundamental and latest status of all aspects of EUVL in the field. It thoroughly covers currently used techniques and equipment plus related topics, including light sources, optics, masks, photoresists, contamination, imaging, and scanners. Each of these aspects of EUVL is an area of expertise in itself, but the implications of each area affect the applications of others. Hence, I recommend that those involved in one aspect of EUVL refer to this book's chapters on other topics to understand the full implications of each technology.

During the nine years since SPIE Press published the first edition of EUV Lithography, much progress been made in the development of EUVL as the choice technology for NGL. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced of this at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had previously attempted. This step brought several difficult challenges in all areas of lithography—light source, scanner, mask, mask handling, optics, optics metrology, resist, computation, materials, and optics contamination. These challenges have been effectively resolved, and several leading-edge chipmakers have announced dates, starting in 2018, for inserting EUVL into high-volume manufacturing.

The development of scanners has been equally challenging. Although EUVL development started in the early 1980s, by 2008 only two alpha-level scanners were in the field, and beta-level scanners were still several years out. Today, more than 14 production- and pre-production-level EUVL scanners are in fabs. This year alone, six production-level EUVL scanners have been shipped to several leading-edge chipmakers, and the current backlog of orders for EUVL scanners is almost two dozen. After decades of intense development and investment, EUVL has now achieved technical and commercial success as the leading NGL technology. This book, strengthened by the insights of many technologists whose work brought EUVL to this point, showcases the various technical components that have enabled its success.

EUVL technology has enabled the extension of Moore's law and is expected to take current chip manufacturing technology to the end of Moore's law. This means that we are not expecting another switch in wavelength for advanced lithography, and Moore's extension will come via further development of EUVL. Moore's law states that the number of transistors per square inch of computer chip will double approximately every two years. This requirement has resulted in the need for continued development and commercial deployment of new lithography techniques that can continue to print eversmaller circuit elements. As new lithography technologies are expected to be used at multiple nodes of decreasing feature sizes, EUVL will need to continue to evolve to meet the challenges of the next nodes until the laws of physics force us to look for a new class of transistors and computers. This book provides an overview of the current status of EUVL, while shedding light on the challenges that EUVL technologists need to address in order to continue extending Moore's law.

In this edition, we address the same general technical areas as in the first edition. However, the second edition contains much new information not readily available to readers before. Although some of the authors also appear in the first edition, their chapters are either new or have been thoroughly rewritten to contain lots of new data.

This text contains 10 chapters and an Appendix of Reference Data for the EUV Spectral Region. Three of the chapters have been split into two parts, each written by different authors: Chapter 3 on high-power EUV sources, Chapter 4 on metrology sources, and Chapter 6 on optical contamination.

Chapter 1 on EUVL history is written by Hiroo Kinoshita and Obert Wood, two scientists who are widely recognized as the pioneers of EUVL; Prof. Kinoshita is credited with the earliest reported work on EUVL. This is the most comprehensive and authoritative history of EUVL development available today, and being an historical account, is the only chapter that remains unchanged from the first edition. Topics covered are early development of EUVL as well as development of individual components such as source, optics, metrology, and photoresists.

Chapter 2 on the EUV LLC has been thoroughly rewritten and provides useful information on the origins and achievements of that consortium. Topics covered are the history of the LLC, major accomplishments, patents issued, and retrospective observations.

Chapter 3 on high-power EUV sources for the EUVL scanner is divided into two subchapters. Subchapter 3A is by authors from Cymer, LLC, now part of ASML. Cymer is currently leading in the area of high-power, tin-based laser-produced plasma (Sn LPP) EUV source development, and its sources are those integrated into EUVL scanners in the field. The authors have written a comprehensive review of EUV source technology, with lots of new information that readers will find valuable. Topics covered are EUV power scaling, tin target delivery, pre-pulse technology, collector, debris mitigation, use of hydrogen as a buffer gas, management of tin in the source, and the latest performance results for their light source.

Gigaphoton is a second supplier for high-power EUV sources, with important differences in the design of their high-power Sn LPP source. We have included their subchapter 3B to ensure complete coverage of the critical topic of high-power EUV sources. This subchapter covers the design of Gigaphoton's source as well as the topics of tin droplet generation technology, pre-pulse technology, the superconductive magnet debris mitigation method, the driver CO2 laser system, and the latest data from their pilot operation.

Chapter 4 covers metrology sources used for actinic inspection of mask defects. These sources require only a few watts of power, while brightness and stability are the key parameters of interest. For this type of metrology source, the current workhorse is the electrodeless Z-PinchTM source from Energetiq, which is covered in subchapter 4A. This subchapter covers operating principles, diagnostics, and data on source performance in terms of power, brightness, and spatial and temporal stability.

Chapter 4B is written by Yusuke Teramoto of BLV Licht- und Vakuumtechnik GmbH, whose technology is a laser-driven discharge-produced plasma (LDP) source. This technology was previously a contender for high-power sources for EUVL scanners and is currently being considered for metrology applications. This subchapter covers LDP system configuration, and data on brightness, power, stability, reliability, source cleanliness, and in-band and out-of-band radiation spectra.

There are several additional technologies for EUV metrology sources, some of which are covered in the book EUV Sources for Lithography, published by SPIE Press in 2006. I refer readers looking for additional information on high-power and metrology sources to that monograph.

Chapter 5 covers optics and optical systems for scanners, including illuminator and projection optics, a brief introduction to multilayer deposition, high-numerical-aperture (NA) optics, source collector optics, and the AIMS EUV tool. Since publication of the first edition, the focus of optics has moved from R&D to commercial production. The authors of this chapter are from Carl Zeiss, which now produces commercial optical components for EUVL scanners. This chapter is full of new information and contains an impressive coverage of fundamentals and the latest data on components that are now part of EUVL scanners in the field. For additional fundamental and historical information on EUV optics, readers are referred to subchapters 4A through 4D in the first edition of the book.

Chapter 6 is on optics contamination, which was deemed a leading challenge for EUVL early on, but later became a technical issue that is now considered to be addressed. This chapter is divided into two subchapters. Subchapter 6A is written by NIST et al., with a focus on fundamentals of optics contamination and resist outgassing test, to quantify contamination from EUV photoresists. Chapter 6B by University of Illinois researchers et al. covers contamination of the normal-incidence source collector in the EUVL scanner and cleaning techniques for removal of tin.

Chapter 7 by Jinho Ahn et al. of Hanyang University covers EUV mask and EUV mask metrology. Topics include mask manufacturing, mask substrate, absorber stacks, pattern formation, mask inspection, metrology and repair, mask contamination, pellicles, and future designs for EUV masks.

Chapter 8 on EUV photoresists for EUVL is by Robert Brainard of SUNY Albany et al. EUV resist technology continues to develop, and lots of new information is provided in this chapter. The chapter covers absorption coefficients of EUV resists, the mechanism of resist exposure to EUV light, organic- and metal-containing resists, resist characterization, benchmarking, and strategies for improving resist performance and line edge roughness (LER).

Chapter 9 on EUVL scanners is written by ASML, the sole maker of these tools. This chapter covers scanners from 0.2-NA, current 0.33-NA, and future 0.55-NA designs. For current scanners, the chapter describes the optical system, mechatronic architecture, wafer and reticle stage, optics and contamination control, metrology, material handling systems, and EUV pellicles.

Chapter 10 by Patrick Naulleau of Lawrence Berkeley National Laboratory (LBNL) and Gregg Gallatin has been thoroughly rewritten, with lots of new coverage of EUV patterning development from the last ten years. This chapter illustrates the benefits of EUV imaging, parameters affecting EUV patterning performance, EUV and aerial image variability, and stochastics in patterning.

I would like to comment on the process of selecting topics and authors for this volume. As noted earlier, EUVL technology has made great progress since our first edition was published. This includes development of new scanners, optics, light sources, mask, resists, and contamination control, as well as addressing new challenges for patterning. Recent announcements by several chipmakers about plans to use EUVL in fabs, as well as the large number of orders for EUVL scanners, testify to that progress. At this point, options for technology components have been largely identified. Choices have been narrowed to one or two options in most areas, although for areas such as photoresist, potential choices remain much greater. Hence, while looking for authors and deciding on coverage for various topics, I focused on technology options that have been chosen by the industry for technical and business reasons. So this edition focuses more on giving technical information about technology that is now being used in the field for EUVL, while covering future options for further scaling of technology as much as possible.

I also should discuss what we decided to include from the first edition in terms of topics, chapters, and authors, and my reasons for making changes. (Please note the first edition had lots of very important technical information and will continue to be available on the SPIE Digital Library.)

The only portions from the first edition included in this volume are EUV Lithography: A Historical Perspective (Chapter 1) and the Appendix for fundamental constants. These were very well written, and little new information could be added to them, while the information provided is still fresh and relevant for readers today.

Two earlier chapters, for which we did not have new data and were of historical nature, were not included: a chapter on high-resolution EUV tools for resist exposure and aerial image monitoring, as it relates to a tool for early development of EUVL, and a chapter on lithography cost of ownership. However, these chapters are preserved for readers in the first edition.

Also, certain chapters from the first edition, although not included in this text, have technical and historical information that students of EUVL may find valuable. These include Chapter 4A Optics and Multilayer Coatings for EUVL Systems, Chapter 4B Projection Systems for EUVL, Chapter 4C Specification, Fabrication, Testing, and Mounting of EUVL Optical Substrates, Chapter 4D Multilayer Optical Coatings for EUVL, Chapter 5 EUVL Optical Testing, and Chapter 6B Grazing Angle Collector Contamination.

Readers also are encouraged to review the extensive database of SPIE’s conference proceedings as well as refereed papers from the Journal of Micro/Nano Lithography, MEMS, and MOEMS (JM3) for detailed, current information about various aspects of EUVL. SPIE’s Digital Library (www. spiedigitallibrary.org) remains the most extensive source of EUVL-related information. Additional information on EUV sources and EUVL in general is available from presentations by various source suppliers and researchers in the annual Source Workshop and EUVL Workshops. Readers can refer to these for the latest information on EUVL. Proceedings for these workshops are available at www.euvlitho.com. Both of these reference sources have been used extensively by authors in this book.

The primary strength of this edition is that the contributions come from leading suppliers or subject matter experts in each technical area. We have succeeded in compiling this second edition through the contributions, dedication, and hard work of our knowledgeable authors. As I said in the first edition, EUVL is a reality today due to the labor of hundreds of technologists around the world. I now acknowledge the financial investment and risk that was taken by suppliers and chipmakers who believed in this technology and worked very hard to achieve its current success. For these reasons, I have dedicated this book to the technologists, suppliers, and chipmakers who have made EUVL a reality.

I also would like to thank my family, whose influence, encouragement, and support have allowed me to undertake a project of this scope. First of all, my father, Mr. Om Prakash Bakshi, M.A. set a very high standard for written communication and the pursuit of excellence, which to this day I can only strive to meet.My mother, Mrs. Pushpa Bakshi,M.A., a retired lecturer of the Punjabi language, always set an example of hard work and taught me a pragmatic approach toward solving everyday problems, which still guides me. Also, this book would not have been possible without the support of my family: my wife, Bethany, who often took care of more than her fair share of duties; and my kids, Emily and Taru, who did not always have my full attention as I struggled to find time to complete this project during my otherwise very full schedule.

Finally, I would like to thank SPIE Press Manager Timothy Lamkins and SPIE Senior Editor Dara Burrows. Dara's attention to detail and patience with numerous missed deadlines made project completion possible. I very much appreciate their support and hard work to make this book project a reality.

Vivek Bakshi, Ph.D.
President, EUV Litho, Inc.
December 2017
vivek.bakshi@euvlitho.com
http://www.euvlitho.com

Vivek Bakshi is founder and president of EUV Litho, Inc., an organization he formed in 2007 to promote EUV lithography via consulting, workshops, and education. He provides consulting services in the areas of EUV lithography and general lithography to investors, funding agencies, universities, national labs, and suppliers. He also organizes the annual International Workshop on EUV Lithography (EUVL Workshop) and the annual International Workshop on EUV and Soft X-ray Sources (Source Workshop). He teaches EUVL short courses around the world.

Dr. Bakshi is Adjunct Professor in the School of Physics, University College Dublin, Ireland. He is a member of the Editorial Board and Associate Editor of JM3 (SPIE's Journal of Micro/Nano Lithography, MEMS, and MOEMS). He is widely quoted in trade media on EUVL-related topics and is an internationally recognized expert on EUV source technology and EUV lithography. Previously, he was a Senior Member of the Technical Staff in SEMATECH's Lithography Division.

Dr. Bakshi has authored/coauthored more than 125 technical publications, including book chapters, articles in peer-reviewed journals, technical reports, and trade publications. He has edited three books on EUVL: EUV Sources for Lithography (SPIE Press, 2006), EUV Lithography (SPIE Press, 2008), and Extreme Ultraviolet Lithography (SPIE Press, 2012) (co-edited with Anthony Yen). He holds three U.S. patents in the area of EUVL.


© SPIE. Terms of Use
Back to Top
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research
Forgot your username?
close_icon_gray