Lithography GROWS UP

From oemagazine December 2003
01 December 2003
By Takaharu Miura

In electron projection lithography (EPL), a 100-keV electron beam exposes photoresist to pattern the wafer. Unlike direct-write approaches, EPL uses a mask to expose a section of the wafer all at once. The short wavelength of the electron beam allows EPL systems to achieve extremely small feature sizes, significantly less than 50 nm even with current systems. On the other hand, throughput with early production tools was limited to 20 to 30 300-mm wafers per hour because electron-electron interactions caused resolution degradation. Nevertheless, EPL is considered a complementary technology rather than a replacement to existing photolithography and extreme UV (EUV) lithography, especially for contacts and vias.

With the June 2003 shipment to Selete (Tsukuba, Japan) of Nikon's (Kumagaya, Japan) first EPL tool, it appears that EPL will be ready for application to critical layers, particularly contacts, early vias, and gates for the 65-nm IC technology node and beyond; its most common use will be for system-on-chip and similar devices. We have been developing an EPL lithography tool that uses electron optics. The model NSR-EB1A constitutes a fully functional EPL lithography tool, positioned as the 70-nm R&D stepper in Nikon's lithography road map. The follow-on NSR-EB2 has been developed as the first production electron-beam stepper for the 65-nm node, and, with a slight decrease of throughput, the 45-nm node; this tool will also function as an R&D stepper for 32-nm-node devices.

electron optics

The EB1A electron optics for illumination and image projection developed jointly by IBM (Armonk, NY) and Nikon have been thoroughly evaluated for functionality and performance on a Nikon factory test stand and on the EB stepper body. Based on a 100-keV electron-beam source, the stepper provides high resolution and large depth of focus (DOF). The data taken on the test stand and on the EB stepper body have already shown 65-nm-node resolution for contacts and at least several microns of DOF for 70-nm contact holes (see figure 1).


Figure 1. Test-stand contact patterns demonstrate good critical-dimension control and several-micrometer depth of focus; test structures were produced with a 10 µC/cm2 dose. (NIKON CORP.)

We also have measured very low distortion within subfields, not only at the center of deflection but also at the maximum deflection positions. We measured the worst distortion value to be around 5 nm (3*) under a more stable and accurate metrology condition on the body. Metrology showed beam deflection stitching accuracy at the subfield boundary of close to ±15 nm. This value also will be improved with a more accurate electron optics adjustment and a more stable and accurate metrology condition on the stepper body.

The electron optics column, the vacuum body, the vacuum stages, and other auxiliary sub-modules have already been docked and total system adjustment has been almost completed. We have verified the performance of the vacuum stages in terms of servo bandwidth, outgassing, and control of position. The system can achieve very high synchronization accuracy by compensating position errors of the reticle stage and the wafer stage with high-speed electron-beam deflections based on dynamic laser interferometry measurements. We adopted a filter/predictor system to correctly compensate stage errors with the electron beam deflection system. The electron beam is controlled in a feed-forward manner for positioning to the exact exposure position. The residual error between the predicted position and the actual position on both reticle and wafer stages after the filter/predictor process was measured to be within ±1 nm on the wafer stage and ±2 nm on the reticle stage.

reticles

Three Japanese mask makers, Hoya Corp. (Tokyo, Japan), Toppan (Tokyo, Japan), and Dai Nippon Printing Co. (Tokyo, Japan), are working on EPL masks and have recently reported successful 200-mm mask development results; the companies have clear paths to commercialization. The subfield size of EPL masks is 1 mm and it is quite practical to compensate subfield positions at exposure time by using global subfield mark position data.

The difficulty of reticle manufacturing is much alleviated with the 4X reduction and small subfield size of EPL stepper designs. EPL masks have no mask error enhancement factors or optical proximity correction features as are typical for advanced optical lithography. The masks require only modest feature compensation and are processed as binary masks, both of which preserve relatively low mask writing times. Pattern-data fracturing software is commercially available, and we expect reticle inspection and repair technology to be released by the commercial introduction of EPL technology. Measured and simulated mask fabrication errors have already been shown to be very favorable.

EPL technology offers high resolution, large process margins with reasonable throughput, and multigeneration tool characteristics. We expect the EPL stepper to be used initially for contact, early via, and gate layers in mix/match scenarios with advanced optical tools such as argon fluoride (193-nm) immersion or fluorine (157-nm) excimer laser systems. EPL infrastructure technologies have shown remarkable progress, especially in areas such as resist (see sidebar on p. 21), reticles, and mask data preparation software. Final installation of the Selete tool is underway; as of this writing, it was slated to be turned over to Selete by the end of October 2003. oe


Balancing Requirements

By Mark Slezak

Electron-beam projection lithography (EPL) holds promise for next-generation lithography. One advantage to EPL is that there are no restrictions on the transparency or optical density of electron-beam resists. Also, EPL offers vast improvements in depth of focus (DOF) and resolution.

EPL resists are typically chemically amplified, positive-tone materials that consist of resin, photo-acid generator (PAG), quencher, and casting solvent. Polyhydroxystyrene (PHS) is the most commonly used resin in EPL resists because of its acid-generating efficiency, dissolution speed in developer, and etching resistance. These PHS-type resins are very similar to the resins used in 248-nm lithography for device and reticle manufacturing.

High-sensitivity resists often suffer from unacceptable LER and/or resolution. Resists A and B have been exposed under the same conditions and only vary on the quencher loading level, which directly affects photospeed. Resist B has less quencher than A, demonstrating that increased photospeed (less quencher) results in a tradeoff of resolution and LER. (JSR MICRO)

Many problems still exist with EPL resist development, however. Improvement in throughput is recognized as one of the prime issues facing EPL equipment developers. Improving EPL resist sensitivity thus poses one of the foremost challenges to photoresist designers.

Imaging systems for EPL incorporate accelerated electron beams and require high-sensitivity resists. In a chemically amplified resist system, the loading level of the PAG is the key factor for controlling sensitivity. Increased sensitivity allows lithographers to use a lower exposure dose, therefore patterning a wafer more rapidly and increasing system throughput. Though electronic permeability is high for PHS systems, acid-generation efficiency can be very low during EPL exposure. This low acid-generation efficiency can be detrimental to improving the sensitivity of a chemically-amplified resist system. Designers must perform a delicate balancing act between these two factors to achieve optimal performance.

Developing high-sensitivity photoresists often results in compromised resist designs, which suffer from performance issues such as poor resolution and/or increased line-edge roughness (LER); indeed resolution and LER have long been considered critical issues for electron-beam resists (see figure above).

In solution, the photoresist resin and PAG are uniformly distributed. After evaporation of the solvent during film formation and soft baking, the distribution becomes less uniform due to the differences between the structure, polarity, and viscosity of the resin and the PAG.

Improving the sensitivity of EPL resists often requires high loading levels of PAGs, which can increase the heterogeneity of the resist film. This heterogeneity, coupled with the fact that the resin behaves as an aggregate of polymer chains, is considered to be a major contributor to poor LER.

The key is balancing LER and sensitivity, because they often work against each other in resist development. Our group has developed a low-LER resist through the addition of a novel low-molecular-weight organic molecule that has both hydrophilic and hydrophobic properties, coupled with the addition of a narrow poly-dispersity resin.

A combination of proper polymers, advanced additives, and selective PAGs illustrates that there are EPL resists that can provide the sensitivity, resolution, LER, and DOF needed for work beyond the 65-nm node. If EPL application is considered for next-generation lithography, further improvement of sensitivity will be the key driver in resist-system development.

Mark Slezak
Mark Slezak is Technical Manager, Lithography Group at JSR Micro Inc., Sunnyvale, CA.

Takaharu Miura
Takaharu Miura is general manager, 2nd Development Department, Development Headquarters, Precision Equipment Co., Nikon Corp., Kumagaya, Japan.

Recent News
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research