Plasma etch of block copolymers for lithography

Pattern formation down to 5nm critical dimensions is made possible using an enhanced understanding of the interactions between plasma and block copolymers.
15 April 2016
Stephen Sirard, Laurent Azarnouche, Emir Gurer, William Durand, Michael Maher, Kazunori Mori, Gregory Blachut, Dustin Janes, Yusuke Asano, Yasunobu Someya, Diane Hymes, David Graves, Chris Ellison and Grant Willson

To date, the semiconductor manufacturing industry has relied on optical lithography to enable the scaling of devices to ever smaller dimensions. Developers use extreme UV lithography to print very small features, but this technology has suffered numerous technical delays and is still not feasible for high-volume manufacturing. The industry is therefore pursuing new schemes, such as multiple patterning, that are intended to improve 193nm immersion lithography (the technique that uses a liquid with a relatively high refractive index to enhance resolution). These approaches have enabled successful fabrication of features smaller than the resolution limit of the 193nm immersion tools. However, their drawbacks are increased process complexities and higher costs. One potentially lower-cost alternative for generating smaller structures is the directed self-assembly (DSA) of block copolymers (BCPs),1, 2 where a BCP is deposited and aligned and one block is removed to form a pattern.

Purchase SPIE Field Guide to Optical LithographyThere has been tremendous progress in advancing DSA patterning, yet significant hurdles remain to its commercial adoption. These include the need to reduce patterning defects and to integrate these materials into robust patterning schemes. The BCPs need to show resistance to the reactive ion etch (RIE) plasmas that are used to pattern the underlying materials. Furthermore, at such small dimensions, the initial pattern is often created using a dry RIE plasma (rather than a wet process in order to prevent collapse of the mask from capillary forces during drying). Therefore, it is necessary to have high etch contrast between the individual blocks of the BCP, and because well-oriented BCP film thicknesses are typically less than 50nm.

To design highly etch-selective BCP materials and processes, it is critical to understand how these BCPs interact with RIE plasmas. The focus of our work is threefold: to determine what BCP material approaches enable the formation of structures with critical dimensions (CDs) below 10nm; to clarify how different BCP materials interact with various RIE plasmas; and to develop selective RIE processes that form well-defined patterns from self-assembled BCPs.

Poly(styrene-block-methyl methacrylate) (PS-b-PMMA) is a BCP that has been widely studied for DSA applications. However, achieving high PMMA:PS etch selectivity with oxidizing plasmas has been challenging, with etch rate ratios of below four often reported in the literature.3 To improve these rates, we have developed a highly selective PMMA plasma etch removal step that uses oxidizing chemistry and is free of any polymerizing gases (see Figure 1). During PMMA removal, the PS block loss is less than 3nm. We designed our process to take advantage of the difference in etch properties between the aromatic and aliphatic blocks, the enhanced oxygen content in the PMMA block, and the differing interactions of the two blocks with the plasma UV/vacuum UV (VUV) photoemissions.


Figure 1. Scanning electron microscope (SEM) image of a cross-section of self-assembled poly(styrene-block-methyl methacrylate) (PS-b-PMMA) with 21nm domains prior to PMMA removal (a) and post reactive ion etch (RIE) removal of PMMA domains (b). Minimal mask loss of polystyrene was observed.

Our primary interest is in developing processes for BCPs with CDs of less than 10nm. Unfortunately, PS-b-PMMA is limited to a pitch of ∼22nm because of its low interaction parameter (χ), which prevents it from forming ordered patterns at lower molecular weights.4 Instead, we have been investigating higher χ silicon-containing BCPs such as poly(styrene-block-4-trimethylsilylstyrene) (PS-b-PTMSS) and poly(styrene-block-4-pentamethyldisilylstyrene) (PS-b-PDSS).5 We incorporated silicon into one block as a way to achieve high etch selectivity with oxidizing RIE plasmas. We performed x-ray photoelectron spectroscopy and Auger electron spectroscopy measurements on samples of PTMSS that had been exposed to oxidizing plasmas, and observed that a thin (3–5nm) silicon oxide (SiOx) etch barrier forms at the surface. Etch rate kinetics show a transient mask loss of 5–10nm in the first few seconds of the oxidizing etch, but the selectivity to PS increases dramatically once the SiOx barrier forms. Using an optimized carbon dioxide RIE plasma on symmetric BCPs, we have shown well-defined lamella patterns, with CDs ranging from 10nm down to 5nm (see Figures 2 and 3).


Figure 2. Tilted SEM image of poly(styrene-block-4-pentamethyldisilylstyrene) (PS-b-PDSS) with 10nm domains. Polystyrene domains were removed with carbon dioxide (CO2) RIE plasma.

Figure 3. Tilted SEM image of silicon-containing block copolymer with ∼5nm domains developed with CO2 RIE plasma.

We designed the silicon-containing BCPs to be processed with oxidizing plasmas, but we have also observed that they show good etch contrast with reducing nitrogen/hydrogen plasmas. These reducing RIE plasmas have shown benefits in terms of profile control and mask retention. A mechanistic study found that the silicon-containing blocks, such as PTMSS and PDSS, are sensitive to the VUV radiation emitted from the hydrogen plasma. The radiation depletes silicon-silicon and silicon-carbon bonds, and appears to enable formation of a silicon-enriched surface that acts as an etch barrier. These results show that chemistries other than oxidizing plasmas exist that enable etch contrast on these silicon-containing BCPs, thus increasing process flexibility.

In summary, DSA of BCPs is a promising technology for extending semiconductor device scaling. We have fabricated BCP structures down to 5nm, in part by understanding how these materials interact with different RIE plasmas. Our future work will focus on integrating select silicon-containing BCPs into DSA flows and also studying how different plasma processes affect pattern roughness.


Stephen Sirard, Emir Gurer, Diane Hymes
Lam Research Corporation
Austin, TX

Stephen Sirard obtained his PhD in chemical engineering from the University of Texas at Austin. He joined the Dielectric Etch Product Group at Lam Research Corporation in 2003, and is currently a technical director in Corporate Technology Development.

Laurent Azarnouche, David Graves
University of California, Berkeley
Berkeley, CA
William Durand, Michael Maher, Kazunori Mori,  Gregory Blachut, Dustin Janes, Yusuke Asano, Yasunobu Someya, Chris Ellison, Grant Willson
University of Texas at Austin
Austin, TX

References:
1. S. O. Kim, H. H. Solak, M. P. Stoykovich, N. J. Ferrier, J. J. de Pablo, P. F. Nealey, Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates, Nature 424, p. 411-414, 2003.
2. C. M. Bates, M. J. Maher, D. W. Janes, C. J. Ellison, C. G. Willson, Block copolymer lithography, Macromolecules 47, p. 2-12, 2012.
3. Y.-H. Ting, S.-M. Park, C.-C. Liu, X. Liu, F. J. Himpsel, P. F. Nealey, A. E. Wendt, Plasma etch removal of poly(methyl methacrylate) in block copolymer lithography, J. Vac. Sci. Technol. B 26, p. 1684-1689, 2008.
4. L. Wan, R. Ruiz, H. Gao, K. C. Patel, T. R. Albrecht, J. Yin, J. Kim, Y. Cao, G. Lin, The Limits of lamellae-forming PS-b-PMMA block copolymers for lithography, ACS Nano 9, p. 7506-7514, 2015.
5. W. J. Durand, G. Blachut, M. J. Maher, S. M. Sirard, S. Tein, M. C. Carlson, Y. Asano, et al., Design of high-χ block copolymers for lithography, J. Poly. Sci. A 53, p. 344-352, 2015.
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research