Improving the resolution of extreme-UV lithography scanners

An anamorphic lens with a numerical aperture of about 0.5 can be used to realize economically viable step and scan systems.
12 January 2016
Jan van Schoot, Kars Troost, Sascha Migura and Bernhard Kneer

The size of features on integrated circuits continues to decrease (according to Moore's law, which predicts a doubling of function count every two years). To keep up with this shrinking roadmap, lithography vendors have to continuously reduce the resolution (dmin) of their optical systems. This can be achieved by reducing the exposure wavelength (λ) and increasing the numerical aperture (NA) of the system (see Equation 1). In this equation k1 is a factor relating to the process difficulty. Smaller k1 values indicate more difficult processing. The theoretical minimum for a 1:1 ratio of lines and spaces is 0.25. Production fabrication processes typically operate at a k1 of 0.3–0.4.

Purchase SPIE Field Guide to Optical LithographyThe current ‘workhorse’ in this field is argon fluoride immersion lithography (λ of 193nm and NA of 1.35), which can be used to achieve a dmin of about 40nm (with k1 of about 0.28). The production of integrated circuits beyond 2021 will require extreme-UV (EUV) lithography scanners, with significantly smaller λ (i.e., 13.5nm), to resolve smaller features than is possible with the current generation of instruments.

EUV lithography is currently being targeted for insertion at the so-called 7nm mode (λ of 13.5nm and NA of 0.33) to provide a dmin of about 13nm (where k1 is about 0.32).1 The optics for EUV lithography (see Figure 1) are operated in a vacuum and are reflective. They comprise ultra-smooth Bragg mirrors coated with molybdenum silicide multilayers that reflect 13.5nm light over a limited angular range. To reduce the intrinsic transmission losses from such optics, the number of mirrors needs to be as low as possible.


Figure 1. Schematic overview of the optics within an extreme-UV (EUV) lithography system. A slit-shaped part of the mask is projected onto the wafer. By scanning the object and the image simultaneously, the entire mask pattern is transferred to the wafer. NAmask: Numerical aperture of the mask. NAwafer: Numerical aperture of the wafer. Mag: Magnification.

In our work,2 we are focused on the realization of the next resolution step with EUV lithography. As such, optics with NA of more than 0.5 are required. These higher NA optics, however, lead to a 2× reduction in the exposure field. So that the EUV lithography process remains economically viable with the higher NA optics, it is necessary to achieve a throughput of more than 100 wafers per hour. We thus present an anamorphic ‘step and scan’ system that halves the field size of current full fields, yet with which we can achieve a throughput of more than 150 wafers per hour.

In EUV scanners, the NA at the mask is smaller than the NA at the wafer, by a factor equal to the magnification (historically, this has been known as magnification although it is actually demagnification). Current EUV scanners have a magnification of 4×, which means that the angular range at mask level can fit into the multilayer reflectivity band. However, when the NA at the wafer level increases, the NA at the mask level also increases, and—see Figures 1 and 2(a)—causes a conflict between the incoming and outgoing beams at the mask. By increasing the angle between the beams to resolve this conflict (combined with the increased NA at the mask), there is an increased amount of shadowing at the mask. This causes a reduction in contrast and overall reflected intensity, as shown in Figure 2(c). At the current 4× magnification level, this shadowing causes deterioration of the aerial image contrast to unacceptably low values.


Figure 2. Illustration of the incoming and outgoing waves for a lens with an (a) isomorphic and (b) anamorphic projection. Increasing the numerical aperture (NA) of an isomorphic 4× magnification system causes the incoming and reflected beams to overlap. (c) Increasing the angle between the beams causes an increase in the amount of shadowing at the mask, and thus a reduction in contrast and overall reflected intensity. With the anamorphic optics, however, the NA at the mask is reduced in one direction and the spatial conflict is solved. In this case, the mask pattern becomes stretched in the scan direction. w: Width of feature (defined by the absorber on the mask multilayer).

We have found that reducing the angular range at the mask, by increasing the magnification to 8×, is the only solution to the shadowing problem. In fact, it is only necessary to increase the magnification in the y-direction because that is where the largest angles occur (see Figure 3). The magnification in the x-direction remains unchanged. This kind of direction-dependent magnification projection is known as anamorphic, and forms the basis of our presented projection lens, as illustrated in Figure 2(b).2–7 We have also evaluated the imaging performance of our anamorphic high-NA lens by examining the N5 node (i.e., the 5nm logic node that will be used in future processors) of a typical device pattern (see Figure 4). With a 4× isomorphic lens, the vertical lines can be successfully printed, but the horizontal lines give a poor result. In contrast, with our anamorphic optics, we achieve fine-scale imaging in both orientations (we also note the lower intensity in the isomorphic case, which is caused by shadowing).


Figure 3. Difference in image contrast from a 4×magnification isomorphic lens system with an NA of 0.33 (red line) and of 0.55 (blue line). Increasing the NA causes a reduction in contrast, but mainly for the horizontal lines (i.e., in the x-direction). As such, there are different magnification requirements for the two directions. These are marked by the two blue circles, i.e., 8× and 4× for the y-magnification and the x-magnification, respectively. These two different requirements are the basis of the anamorphic lens. The red dashed line indicates the minimum NILS required for good imaging (i.e., about 2). The red circle, at 4× demagnification, denotes the current 0.33 NA system. dmin: Resolution. L/S: Lines/space.

Figure 4. Results from high-NA isomorphic and anamorphic simulations of an N5 logic 2D metal clip (top), with a minimum resolution of 11nm.

In current 4× magnification step and scan systems, six-inch square masks are used to print a 26 × 33mm2 image field. It is not considered economically viable to change the mask size, so to reduce the maximum printable image size, the magnification of the projection lens can be increased instead. An 8× magnification isomorphic system results in a 13 × 16.5mm2 field, i.e., just a quarter of the original field size. Four times as many fields therefore need to be printed on a single wafer (see Figure 5). Even with significantly faster mask and wafer stages of the system, only a throughput of less than 100 wafers per hour can be achieved. Our anamorphic lens, however, has a distinct advantage in this context. This is because the resulting image from our lens is 26 × 16.5mm2 (i.e., half the original field size, but double the size of an isomorphic 8× system). Moreover, because we use a wider slit on the mask, faster scan speeds can be achieved (compared with an 8× magnification isomorphic lens). Combined with the accelerated mask and wafer stages, we can therefore realize an economically viable throughput of more than 150 wafers per hour with this new type of scanner.2–6


Figure 5. Illustration of the field size at the wafer level of different EUV lithography scanners. (a) A current state-of-the-art 4× magnification isomorphic design. (b) An 8× magnification isomorphic design. (c) A 4×/8× magnification anamorphic design.

We have designed an EUV lithography step and scan system, with an improved resolution of 8nm. At the heart of this system is a newly developed high-NA anamorphic lens, combined with more powerful scanner stages. With this new technology it will be possible to realize the next resolution step of EUV lithography and to support the integrated circuit shrinking roadmap for several years. The next steps of our work will involve the design and and realization of optics, with NA of more than 0.5, which satisfy optical, dynamical, cost, and manufacturability requirements for a possible future commercial lithographic EUV scanner.

The authors acknowledge the design teams at ASML and Carl Zeiss SMT GmbH for their continued contributions.


Jan van Schoot, Kars Troost
ASML
Veldhoven, The Netherlands

Jan van Schoot has been at ASML, where he is senior principal architect of system engineering, since 1996. In the past, he has been responsible for the optics of the NXE:3100 and NXE:3300B extreme-UV systems, and is now the high-NA EUV study leader. He has a PhD in physics and holds more than 20 patents in the field of lithography.

Kars Troost obtained his PhD in solid-state physics from the University of Utrecht, The Netherlands. He previously worked at Philips Research and joined ASML in 2002. He is currently senior product manager for high-NA EUV lithography.

Sascha Migura, Bernhard Kneer
Semiconductor Manufacturing Optics
Carl Zeiss SMT GmbH
Oberkochen, Germany

Sascha Migura has a PhD in high-energy physics from the University of Bonn, Germany. At Carl Zeiss SMT GmbH he has mainly worked on EUV optical designs for the NXE:3100 and NXE:3000, and he is now a lead system engineer for high-NA EUV lithography.

Bernhard Kneer obtained his PhD in quantum optics from the University of Ulm, Germany, and joined Carl Zeiss SMT GmbH in 1999, where he works on optics design. Between 2003 and 2009 he was the lead system engineer for immersion lithography optics, and has since been the lead system engineer for high-NA EUV optics.


References:
1. A. Pirati, R. Peeters, D. Smith, S. Lok, A. Minnaert, M. van Noordenburg, J. Mallmann, et al., Performance overview and outlook of EUV lithography systems, Proc. SPIE 9422, p. 94221P, 2015. doi:10.1117/12.2085912
2. J. van Schoot, K. van Ingen Schenau, C. Valentin, S. Migura, EUV lithography scanner for sub-8nm resolution, Proc. SPIE 9422, p. 94221F, 2015. doi:10.1117/12.2087502
3. J. B. P. van Schoot, C. Valentin, K. van Ingen-Schenau, S. Migura, EUVL lithography scanner for sub 9nm resolution, Int'l Symp. Extreme UV Lithog. , 2014.
4. S. Migura, B. Kneer, J. T. Neumann, W. Kaiser, J. B. P. van Schoot, EUV lithography optics for sub 9nm resolution, Int'l Symp. Extreme UV Lithog. , 2014.
5. B. Kneer, S. Migura, W. Kaiser, J. T. Neumann, J. B. P. van Schoot, EUV lithography optics for sub-9nm resolution, Proc. SPIE 9422, p. 94221G, 2015. doi:10.1117/12.2175488
6. J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, S. Migura, B. Kneer, J. Timo Neumann, W. Kaiser, EUV high-NA scanner and mask optimization for sub 8nm resolution, Proc. SPIE 9635, p. 963503, 2015. doi:10.1117/12.2202258
7. J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, S. Migura, J.-T. Neumann, B. Kneer, W. Kaiser, EUV high-NA scanner and mask optimization for sub 8nm resolution, Int'l Symp. Extreme UV Lithog., 2015.
Recent News
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research