Coherent scatterometry microscope for extreme-UV mask inspection

A lensless technique achieves aberration-free defect mitigation through complex-amplitude (intensity and phase) imaging.
26 November 2013
Tetsuo Harada, Takeo Watanabe and Hiroo Kinoshita

Extreme-UV (EUV) is a next-generation lithographic technology based on light with a wavelength of 13.5nm. The approach offers significantly improved resolution over current systems, which have a wavelength limit of 193nm. EUV poses a number of challenges, however, one of the most important being production of defect-free masks. Masks serve as master patterns in the fabrication of semiconductor devices. Consequently, defects in the mask mean failure for the device. EUV masks consist of a glass substrate, a multilayer reflective coating, and absorber patterns. Because the multilayer reflects EUV light under so-called Bragg conditions, buried substrate structures and particles cause destructive interference and black spots on the photoresist. These ‘phase defects’ are difficult to detect using conventional objective microscopes, which are limited by lens-induced aberrations. In addition, owing to the height of the absorber patterns (50–70nm), the angle of radiation incident on the EUV mask (about 6° from the normal) causes a shadowing effect. Predicting the actual pattern printed on a photoresist is thus very hard to do. The solution ideally requires a phase-imaging microscope, i.e., one that can determine the phase distribution of defects in a sample.

To visualize the mask pattern as a phase image without optical aberrations, we developed a lensless instrument that we call a coherent EUV scatterometry microscope (CSM).1, 2 In this device, a CCD camera records far-field diffraction images from patterns. The pattern images are reconstructed using ptychography, a positional scanning technique based on coherent diffraction imaging (CDI) algorithms. These algorithms in turn rely on iterative calculations of Fourier and inverse Fourier transforms. CDI is a well-established technique that is typically used in x-ray imaging for precise, aberration-free high-numerical-aperture optics in this region. Recently, very high resolution of less than 10nm was achieved.3 CDI also enables reconstruction of the complex-amplitude image of a sample (i.e., both its amplitude and phase), and has been applied, for example, to x-ray and electron-beam imaging to obtain high-contrast visualization of organic structures such as proteins.4

Figure 1 shows a complex-amplitude image of a crossed-line pattern on an EUV mask that we obtained using our CSM. Here, the amplitude is represented by brightness and the phase by hue. The cross shape is well reconstructed. The cross region corresponds to the reflective multilayer, and the dark green region corresponds to an absorber. This result suggests that the phase difference between the absorber and the reflective region was 145°, which matches well with our calculations.5


Figure 1. Complex-amplitude image of a crossed-line pattern. The amplitude is represented by brightness and the phase by hue.

Figure 2 shows the results of imaging the corner structure of line-and-space (L/S) patterns: the pattern to the left has a half-pitch (feature size) of 88nm, and the right-hand pattern a half-pitch of 128nm. The corner and L/S structures are well reconstructed. The angle of incidence is 6°, and the azimuth angle of the illumination is indicated by yellow arrows. The right-hand image shows the shadowing effect. These phase images suggest that the phase structure in the L/S patterns depends strongly on the azimuth angle.6


Figure 2. Complex-amplitude images of the corner structure of line-and-space (L/S) patterns. Left: Pattern with a half-pitch of 88nm. Right: Pattern with a half-pitch of 128nm.

Figure 3 shows an image of programmed phase defects. The defects were 1μm wide and positioned 1μm apart (for a pitch, or center-to-center spacing, of 2μm). The experimental phase-shift value of the defect was approximately –33°, which corresponds well with that obtained by atomic force microscopy of –31°. Note that in Figures 13, the phase distributions of the absorber and the defects in response to EUV light are clearly shown, which is essential in estimating printability for the EUV lithography scanner.


Figure 3. Complex-amplitude image of programmed phase defects.

With a width of 1μm, the phase defect shown in Figure 3 was much larger than the critical size for phase defects (50nm wide). To observe such small phase defects, we developed a new CSM with focusing optics.7 The size detection limit of this CSM is 25nm wide and 1.4nm deep. The system uses CDI to characterize defects as complex-amplitude images for defect mitigation. Because mask shops and semiconductor fabrication plants demand standalone observation systems, we have also developed a high-harmonic-generation EUV source. This coherent EUV light is pumped by a commercially available titanium-doped sapphire laser with femtosecond duration time and subterawatt power. Using this source we recently achieved 20 times the brightness of the bending source at the NewSUBARU synchrotron facility.7

In summary, we have developed a CSM for phase-imaging EUV mask patterns and defects to evaluate printability. This lensless technique also helps to evaluate the influence of shadowing and to compensate defects by determining their phase distribution. As a next step, we plan to carry out phase imaging of defects during EUV lithography with critical size <50nm.

This work was partially supported by the New Energy and Industrial Technology Development Organization.


Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita
Center for EUV Lithography
University of Hyogo
Kamigori, Japan

Tetsuo Harada is an assistant professor at the University of Hyogo. He holds a PhD, MS, and BS in engineering from Tohoku University, Japan. His research group focuses on evaluating EUV resists and masks at the NewSUBARU synchrotron facility.


References:
1. T. Harada, J. Kishimoto, T. Watanabe, H. Kinoshita, D. G. Lee, Mask observation results using a coherent extreme ultraviolet scattering microscope at NewSUBARU, J. Vac. Sci. Technol. B 27, p. 3203–3207, 2009. doi:10.1116/1.3258633
2. T. Harada, M. Nakasuji, T. Kimura, T. Watanabe, H. Kinoshita, Y. Nagata, Imaging of extreme-ultraviolet mask patterns using coherent extreme-ultraviolet scatterometry microscope based on coherent diffraction imaging, J. Vac. Sci. Technol. B 29, p. 06F503, 2011.
3. Y. Takahashi, A. Suzuki, S. Furutaku, K. Yamauchi, Y. Kohmura, T. Ishikawa, High-resolution and high-sensitivity phase-contrast imaging by focused hard x-ray ptychography with a spatial filter, Appl. Phys. Lett. 102, p. 094102, 2013. doi:10.1063/1.4794063
4. M. J. Humphry, B. Kraus, A. C. Hurst, A. M. Maiden, J. M. Rodenburg, Ptychographic electron microscopy using high-angle dark-field scattering for sub-nanometre resolution imaging, Nat. Commun. 3, p. 730, 2012. doi:10.1038/ncomms1733
5. T. Harada, M. Nakasuji, Y. Nagata, T. Watanabe, H. Kinoshita, Phase imaging of extreme-ultraviolet mask using coherent extreme-ultraviolet scatterometry microscope, Jpn. J. Appl. Phys. 52, p. 06GB02, 2013. doi:10.7567/JJAP.52.06GB02
6. T. Harada, M. Nakasuji, Y. Nagata, T. Watanabe, H. Kinoshita, Phase imaging of EUV masks using a lensless EUV microscope, Proc. SPIE 8701, p. 870119, 2013. doi:10.1117/12.2027283
7. T. Harada, M. Nakasuji, A. Tokimasa, T. Watanabe, Y. Usui, H. Kinoshita, Defect characterization of an extreme-ultraviolet mask using a coherent extreme-ultraviolet scatterometry microscope, Jpn. J. Appl. Phys. 51, p. 06FB08, 2012. doi:10.1143/JJAP.51.06FB08
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research