Repairing photomasks by nanomachining

A nanoscale pit can correct both the amplitude and phase effects of multilayer defects in extreme UV lithography.
18 March 2013
Gregory McIntyre, Emily Gallagher, Mark Lawliss, Tod Robinson, Michael Archuletta and Ron Bozak

Successfuly introducing extreme UV lithography (EUVL) into semiconductor manufacturing is critical to the industry's ability to maintain a rigorous rate of miniaturization. Migrating from optical lithography's current 193nm wavelength to EUVL's 13.5nm wavelength significantly improves image resolution. However, a host of technical challenges must be resolved before EUVL can be implemented in high-volume manufacturing, and one of the top challenges is photomask defectivity.

An EUV photomask, when viewed from the top down, contains a stencil of the pattern to be produced on a silicon wafer. Defectivity can mean defects added to the mask during use, or defects that are instrinsic to the mask blank itself. Defects in the blank are particularly problematic because, for many applications, a single 1nm-tall defect on a six-inch mask can render that mask useless and drive up the cost of manufacturing. It will be many years before the industry can expect a supply of near-zero-defect mask blanks. Thus, repair and other defect mitigation strategies are essential.

An EUV photomask consists of a patterned absorber on a molybdenum-silicon multilayer Bragg-like reflector, which is deposited on a glass substrate: see Figure 1(a) for a side view. Mask defects are typically classified as either adders (those that fall on the mask during use), absorber defects (errors in patterning of the absorber layer), or multilayer defects (bumps or pits on the substrate that, after conformal deposition of the multilayer, cause imperfections in the reflective properties of the mirror): see Figure 1(b). Adder defects are typically removed via cleaning and absorber defects can be corrected with standard mask repair processes.


Figure 1. (a) An ideal extreme UV (EUV) photomask. (b) An EUV photomask with a multilayer (ML) defect.

Figure 2. Simulated Bossung curves for an extreme UV lithography (EUVL) photomask show how a nanomachined repair counteracts the phase of a multilayer bump defect and restores symmetry through focus printing. ML: Multilayer. CD: Critical dimension or linewidth.

However, substrate defects are particularly challenging: they are difficult to detect at the non-exposure wavelengths currently available for mask inspection and can be unnoticed until the mask is shipped to the wafer fabrication facility and wafers are printed. Their main impact is to perturb the phase of the reflected light, which can significantly affect the printed linewidth behavior at the image plane. This phase effect can be seen by comparing the printed linewidth behavior between a substrate particle defect and a reference case in Figure 2(a) and (b). The plots in Figure 2 are referred to as Bossung Curves and show the simulated printed linewidth at the defect location as the image plane is moved through a range of defocus. The defocus range represents the typical variation during manufacturing in effective wafer position relative to the imaging system. Multiple curves are used to represent possible variation in exposure intensity (dose). The obvious impact of the defect is a tilt in the Bossung curves, representing an error in the printed linewidth that varies through defocus. This reduces the overall dose/focus process window. The aim of this work has been to develop a means to repair these difficult multilayer defects and restore symmetry in the Bossung curves.

Others have recognized the need to mitigate the impact of multilayer defects and have generally pursued a combination of two strategies: shifting the mask layout to bury as many defects as possible under the absorber layer, and compensating the absorber pattern in the vicinity of the multilayer defect to reduce its printing impact.1,2 Pattern shift can be effective for some layouts, but is unlikely to provide a complete solution. Absorber compensation can work well for the amplitude response of a multilayer defect, but will never be able to compensate the phase effect. In other words, it can move the Bossung curves up and down, but cannot remove the tilt that indicates a through-focus asymmetry.

Our work offers a third strategy that, when combined with existing techniques, should provide a complete solution. The concept is shown in Figure 2(c), where nanomachining a pit at the top of the multilayer produces a phase response opposite to that of a multilayer bump defect. When located on top of a defect, as in Figure 2(d), this nanomachining repair counteracts the phase effect of the defect and restores the tilt of the Bossung curves. Some absorber compensation is typically required to account for any remaining amplitude offsets and enable the lines to print to target. A pit defect, whose Bossung curves tilt in the opposite direction, can be repaired by material deposition rather than multilayer removal.


Figure 3. A sample repair with a 100×100×89nm nanomachined pit in a mask grating that prints 50nm half-pitch features.

Figure 4. Experimental Bossung curves from the Berkeley actinic inspection tool (AIT) show excellent agreement with simulation for two sample repairs in a mask that produces 28nm half-pitch imaging.

Nanomachining both the multilayer and absorber materials can be accomplished with an atomic force microscope (AFM). An AFM image of a repair done on a Merlin® AFM system that is 100×100nm wide and 89nm deep is shown in Figure 3. This is likely the maximum depth required, as it is sufficient to repair the defect height with the maximum phase response, roughly 3nm or one quarter-wavelength.

Experiments to date have been conducted on the actinic inspection tool at the Lawrence Berkeley National Laboratories, where the response of various repair-only cases, similar to Figure 3(c), were verified to behave as predicted by simulation.3 Good agreement between experiment and simulation indicates that repair of many multilayer defects is feasible and that simulation can be used to determine the required repair geometries. For example, AFM scans of 32nm- and 70nm-deep nanomachined pits within a 112nm half-pitch grating that prints 28nm half-pitch wafer features are shown in Figure 4. As expected, the tilt of the Bossung curves correlate to the depth of the repairs and an excellent match is observed between experiment and simulation.

The use of nanomachining, when combined with existing methods, has the potential to enable complete EUV multilayer repair. Our results have been encouraging because they demonstrate a new method to control the phase effect of a defect. Our next work in this area will focus on demonstrating repairs on native multilayer defects and showing that nanomachining can scale with the shrinking dimensions required in future EUVL.


Gregory McIntyre
IBM Systems & Technology Group
Advanced Lithography Research Group
Albany, NY

Greg McIntyre is a senior engineer. He holds a PhD and MS in electrical engineering from the University of California, Berkeley, and a BS from the United States Military Academy at West Point.

Emily Gallagher, Mark Lawliss
IBM Systems & Technology Group
Essex Junction, VT

Emily Gallagher is a senior technical staff member at IBM where she leads the EUVL mask development effort. She earned her PhD in physics from Dartmouth College before joining IBM in 1992. At IBM, she held fabricator facility positions in wafer process development, electrical characterization and lithography before joining the mask house in 2001.

Mark Lawliss has a BS in both management engineering and electrical engineering from the University of Vermont. While at IBM, he has worked on the x-ray mask development team and in photomask repair.

Tod Robinson, Michael Archuletta, Ron Bozak
Rave LLC
Delray Beach, FL

Tod Robinson has been an applications engineer in photomask repair for 10 years and the semiconductory industry in general for the past 15 years. He has worked at RAVE in Delray Beach, Florida since 2004 where he is currently the senior applications engineer for photomask repair products.

Mike Archuletta is the director of marketing for RAVE and has worked in the semiconductor-related equipment industry for more than 40 years.

Ron Bozak is currently the applications manager at RAVE. He holds a PhD from the University of Hawaii at Manoa and a BS from the University of California, Santa Barbara.


References:
1. L. Pang, P. Hu, M. Satake, V. Tolani, D. Peng, Y. Li, D. Chen, EUV multilayer defect compensation (MDC) by absorber pattern modification- from theory to wafer validation, Proc. SPIE 8166, p. 81662E, 2011. doi:10.1117/12.897215
2. R. Jonckheere, T. Bret, D. Van den Heuvel, J. Magana, W. Gao, M. Walbinger, Repair of natural EUV reticle defects, Proc. SPIE 8166, p. 81661G, 2011. doi:10.1117/12.898864
3. G. McIntyre, E. Gallagher, M. Lawliss, T. Robinson, J. LeClaire, R. Bozak, R. White, Through-focus EUV multilayer defect repair with nanomachining, Proc. SPIE 8679, p. 53, 2013. (Invited paper.)
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research