Nanochemistry of chemically amplified resists for extreme ultraviolet lithography

The future development of semiconductor lithography depends on efficient, controllable chemical reactions.
06 July 2012
Takahiro Kozawa

Semiconductor lithography has progressed remarkably during the past half-century, driving the evolution of our information-oriented society. Repeated replacement of exposure tools with newer ones working at higher energy (shorter wavelengths) has led to the resolution of semiconductor lithography capable of writing 45nm features, even for high-volume production lines. The next generation of semiconductor lithography, with a resolution target of 16nm features and lower, will enter a new era characterized by ionizing radiation. This includes extreme ultraviolet (EUV) radiation of energy >92.5eV.

In our work, we use a highly sensitive chemically amplified photoresist to perform semiconductor lithography. In chemically amplified resists, acids generated upon exposure catalyze the chemical dissolution of the resist polymer. One of two major issues in the development of EUV resists is the trade-off relationships between resolution, line-edge-roughness (LER), and sensitivity. LER is the sidewall roughness of resist patterns and significantly affects the device performance. The second major issue is the difference between sensitization mechanisms. Because the EUV photon energy is greater than the ionization potential of resist materials, the sensitization process is initialized not by the electronic excitation of photosensitizer, but by the ionization of the resist polymer.1 We have investigated the nanochemistry induced in resist materials on the basis of sensitization and LER formation mechanisms to obtain the design guide for EUV resists, which is different from that for vacuum UV resists.


Figure 1. Schematic showing LER formation process. Insoluble (protected) polymers are deprotected through acid-catalytic chain reactions and become soluble in developer. Patterns are formed through the dissolution of the blue region of the latent image.

Figure 2. Representative dependences of measured line width and LER on exposure dose and half-pitch (nominal line width defined by mask). The deviation from the half-pitch was indicated as the line width 2.

Figure 1 shows the schematic drawing of the formation mechanisms of LER. In chemically amplified resists, an aerial image of incident photons generated by an exposure tool is converted to an acid image. The acid image is then converted to a so-called latent image through a chemical reaction. The deprotection of the partially protected polymer provides the solubility change: the blue region is totally dissolved in the developer, while the red region remains insoluble. However, the intermediate region is a mixture of soluble and insoluble molecules, and this inhomogeneity leads to LER formation. Thus, LER is proportional to the width of the intermediate region or inversely proportional to the concentration gradient of insoluble molecules. In other words, we can estimate the chemical gradient by measuring LER.

We have analyzed the dose-pitch matrices of line width and LER of state-of-the art resists (see Figure 2).2,3 The proportionality constant between LER and chemical gradient was 0.14–0.31, depending on the material and process factors associated with the development and rinse processes. The effective reaction radius for the catalytic chain reaction was ∼0.1nm. This indicates that the reaction proceeded efficiently, considering that the radius of acid anions is ∼0.3nm. Besides the effective reaction radius, the chemical gradient is determined by the aerial image quality of incident photons, exposure dose, the absorption coefficient of the resist, and the quantum efficiency of acids. The absorption coefficient and the quantum efficiency of state-of-the-art resists are ∼4μm−1 and ∼2, respectively. For reducing LER without sacrificing resolution and sensitivity, it is necessary to increase the absorption coefficient, quantum efficiency, and effective reaction radius.

Among the factors related to the resist performance, the absorption coefficient is a key to the resist development toward 22nm pitch (11nm features). In the resist design for vacuum UV, the absorption coefficient can be increased simply by increasing the concentration of photosensitizer. However, the increase of absorption coefficient of EUV resists requires the increase of the absorption coefficient of the resist polymer. Actually, it is not difficult to increase the absorption coefficient of the polymer, because the absorption coefficient against EUV is determined, not by chemical bonds but the photoabsorption cross sections of atomic elements. The problem is that the introduction of new atomic elements to the polymer significantly changes the chemistry induced in the resist films. It is not an easy task to increase the effective reaction radius of chemical reactions in the new resist platform to the same level as that in well-studied organic resist polymers.

In summary, we have investigated resist patterns fabricated using an EUV exposure tool on the basis of reaction mechanisms. To simultaneously meet the requirements of resolution, LER, and sensitivity, it is essential to enhance the absorption coefficient of the resist, the quantum efficiency of acids, and the effective reaction radius of catalytic chain reaction. In our future work, we will investigate the nanochemistry in high-absorption materials to create a highly efficient reaction system for semiconductor lithography.


Takahiro Kozawa
Osaka University
Ibaraki, Japan

Takahiro Kozawa is a professor in the Institute of Scientific and Industrial Research at Osaka University, Japan. His research interests span beam-material interaction, radiation chemistry, and resist materials.


References:
1. T. Kozawa, S. Tagawa, Radiation Chemistry in Chemically Amplified Resists, Jpn. J. Appl. Phys. 49, p. 030001, 2010. doi:10.1143/JJAP.49.030001
2. T. Kozawa, H. Oizumi, T. Itani, S. Tagawa, Assessment and Extendibility of Chemically Amplified Resists for Extreme Ultraviolet Lithography: Consideration of Nanolithography beyond 22nm Half-Pitch, Jpn. J. Appl. Phys. 50, p. 076503, 2011. doi:10.1143/JJAP.50.076503
3. T. Kozawa, H. Oizumi, T. Itani, S. Tagawa, Analysis of Dose-Pitch Matrices of Line Width and Edge Roughness of Chemically Amplified Fullerene Resist, Jpn. J. Appl. Phys. 50, p. 126501, 2011. doi:10.1143/JJAP.50.126501
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research