Model-based mask data preparation enables complex masks

In addition to reducing the write times of masks for photolithography, simulations help to produce photomasks that are closest to the ideal designs.
03 April 2012
Aki Fujimura

As integrated circuits continue to shrink, the electronics industry must develop more sophisticated methods for producing them. To pattern these smaller features, photolithography equipment requires increasingly intricate masks, and writing these masks pushes the limits of electron beam (e-beam) technology. Model-based mask data preparation (MB-MDP), which simulates the interactions between the e-beam and the mask it writes, offers a solution. This approach patterns photomasks more efficiently, reducing the number of e-beam shots required by 30% or more while producing masks that are closer to the target design.

From the 350nm system-on-chip (SOC) node onward, integrated circuits could not be manufactured without resolution enhancement techniques (RETs). Moving on to the 130nm SOC node required model-based optical proximity correction (OPC). OPC is a type of RET that adds ‘assist’ features to shapes so light will transfer a pattern more accurately, with minimal manufacturing variation. OPC and other RET applications have enabled foundries to extend the life of 248nm and 193nm lithography equipment longer than was thought feasible.

With the mass adoption of OPC, the amount of data needed to write a mask increased. That, in turn, increased mask write times. As semiconductor devices push into the 20nm SOC node and beyond to 14nm, the industry is adopting new techniques including aggressive OPC (such as inverse lithography) to extend the viability of 193nm immersion optical lithography (see Figure 1).


Figure 1. Mask shapes are increasingly complex at 20nm and below OPC: optical proximity correction. Image courtesy of IBM.

For ultra-high volume chips, complex mask data threatens to demand impossible mask write times. For all chips at the 20nm node and below, mask write times for the critical layers create a bottleneck. Equally important at the 20nm node, physics poses a new challenge. Due to fundamental blur in the electron beam, e-beam writing is no longer faithful for sub-80nm features.

For example, an OPC output described as a 60nm square on the mask will actually print as a much smaller (perhaps 54nm) circle (see Figure 2). Because the dose margin is worse, the manufacturing variation will be high. Shapes intended to be 60nm squares on the mask may print as near-circles anywhere between 50–58nm in diameter, randomly, and this leads to sub-optimal critical dimension uniformity on the wafer. This is now a crucial problem as 60nm features occur often as sub-resolution assist features (SRAFs) on today's advanced optical lithography masks. The problem potentially worsens on extreme-UV masks, in which 80nm-wide main features are imminent.


Figure 2. E-beam writing is no longer faithful for sub-80nm features. Mfg: manufacturing.

For features below 80nm in width, a new approach is required. Just as the 130nm node began a decade of growth for OPC technologies, the 20nm node is the start of a decade of innovation in e-beam technologies. The latest development in mask writing is MB-MDP, with its ability to generate e-beam shot lists with overlapping variable-shaped beam shots (see Figure 3). MB-MDP can also make use of circular beams and other shapes supported by the mask writer, and it can modulate the electron dose on a per-shot basis as well. When combined, these techniques will allow complex and curvilinear shapes to be written on masks without exploding the shot count.

Recently, our team worked with GLOBALFOUNDRIES (both companies are members of the eBeam Initiative1) to investigate whether reducing the shot count with MB-MDP affected the performance of the wafer written by the resulting mask. In this study2, we compared the process variation band of a 20nm node static random access memory self-aligned via (SAV) layer between conventionally fractured masks on one side and masks using ideal OPC shapes and MB-MDP on the other side. Taking the conventionally fractured mask as the reference, MB-MDP produced 33% fewer shots, while at the same time, it outperformed the conventional mask in wafer quality by reducing the worst process variation by more than 15%. In fact, process variation results of the MB-MDP mask were very close to the theoretical values simulated from ideal mask shapes, assuming that those shapes could be printed perfectly. Even with 45% fewer shots, the process variation did not degrade significantly compared to conventional methods. When the shot-count reduction was 57%, the process variation was as large as the one seen in the conventionally fractured mask. Overall, the study showed that because MB-MDP is most faithful to the curvilinear ideal OPC shape, these masks produce the best wafer results.


Figure 3. This pattern requires ten conventional e-beam shots because they cannot overlap, but the model-based approach enables overlapping to create the pattern with just five shots.

The traditional SRAF OPC, which is based on rules set by hand, will no longer be satisfactory for sub-80nm features. By simulating the interaction between the e-beams and masks, MB-MDP is able to reduce shot count by more than 30% compared to techniques that must approximate curves with horizontal and vertical lines. Because the contour of the MB-MDP mask shape is closer to the ideal OPC mask, it results in the best manufacturable wafer performance even with shot-count reduction. Future work will include collaboration with a mask shop to further validate the benefits of MB-MDP on both mask write times and wafer quality.


Aki Fujimura
D2S, Inc.
San Jose, CA

Aki Fujimura is chairman and CEO of D 2S, Inc, which is the managing company sponsor of the eBeam Initiative. Previously, he served as CTO at Cadence Design Systems and president/COO of Simplex Solutions. He co-founded Tangent Systems in 1984, which was acquired by Cadence Design Systems in 1989. He received his BS/MS in Electrical Engineering from MIT.


References:
1. http://www.ebeam.org Website of the eBeam initiative. Accessed February 8, 2012
2. G. S. Chua, W. L. Wang, B. I. Choi, Y. Zou, C. Tabery, I. Bork, T. Nguyen, A. Fujimura, Optimization of mask shot count using MB-MDP and lithography simulation, Proc. SPIE 8166, p. 816632, 2011. doi:10.1117/12.897037
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research