Using computational methods for mask aligner lithography

Numerical lithography approaches in micromanufacturing make it possible to complement or replace test exposures and to optimize mask layouts and illumination systems.
30 January 2012
Kristian Motzek, Andreas Erdmann, Michael Hornung and Ulrich Hofmann

Mask aligners are the most commonly used lithography systems for micromanufacturing structures down to approximately 3μm in size. They are standard practice in LED, flat panel display, and microelectromechanical systems fabrication, as well as at the back end of semiconductor device manufacturing. The basic lithographic principle of mask aligners is to use the nearfield (i.e., the diffracted light field) of a mask to expose the photoresist coated onto a substrate. No optical projection system is needed, which greatly improves the cost-effectiveness of this technique. However, mass production does not allow direct contact between mask and substrate (to avoid contamination), and a ‘proximity gap’ of up to 100μm or more may thus be required. As a result, especially at larger proximity gaps, the negative impact of diffraction on the reproduction of small structures is a serious problem.

In projection lithography, a number of approaches have been developed to deal with unwanted diffraction effects, including source optimization,1,2 optical proximity correction (OPC),1, 3 and source mask optimization (SMO).4 However, these resolution-enhancement methods and their implementation for a specific process require many test exposures, which has led to a strong demand in the field for ‘numerical experiments.’ Such computational lithography methods would be an indispensable tool in adapting resolution-enhancement techniques for mask aligners.

Because of the substantial impact mask illumination has on the results, numerical techniques require precise knowledge of it. Here, the angular spectrum of the illuminating light plays a major role. Figure 1 shows the angular spectra of two mask-aligner illumination systems obtained by ray tracing the optical design data. Figure 1(a) has been computed for a ‘conventional’ illumination system, whereas Figure 1(b) shows the angular spectrum of an illumination system based on micro-optical integrators, using an aperture stop or diaphragm to define the angular spectrum in a reconfigurable way.5 We used these ray-traced spectra to simulate lithographic exposure.


Figure 1. The angular spectra of two mask-aligner illumination systems obtained by ray tracing the optical design data. The spectrum in (a) has been computed for a ‘conventional’ illumination system, whereas (b) shows the results of using micro-optical integrators and a stop in the Fourier plane to shape the spectrum.

Figure 2. Assessing the impact of changes to the angular spectrum of the illumination on the shape of line ends in simulation and experiment. A circular angular spectrum was used. The collimation angle of the spectrum for the simulation and experiment shown in the images at right was approximately 1°. The one used for the images at left was approximately 2°.

Figure 3. Using assist structures on the mask to print 10μm squares at a proximity gap of 50μm. The top row shows the mask structure with variably placed assist features. The middle row shows the resulting photoresist profiles (top view) when using these mask structures. The bottom row shows the intensity distribution at the wafer level obtained from simulation.

For many applications of mask aligners, it is not only the (2D) footprint of the photoresist profile but its full 3D shape that affects subsequent processing steps. This requires accurate modeling and simulation of photoresist development. Colleagues at the Vorarlberg University of Applied Sciences investigated the characteristics of selected photoresists using a dissolution rate monitor (DRM) to measure the temporal dynamics of photoresist dissolution under development. We then used the DRM data to calibrate the photoresist model employed in our simulations.6

The combination of realistic angular spectra and accurate photoresist modeling leads to simulation results that show good qualitative and quantitative agreement with experiments. Figure 2 presents the effect of using illumination with two different angular spectra on the ends of 10μm lines printed with a proximity gap of 30μm. The good match between simulation and experiment proves that predictions made by mask aligner lithography simulation form a sound basis for mask and/or illumination optimization algorithms.

Knowing the angular spectrum of the illumination enables assessment of the effects of changes to the mask structure on the printed result. The basic idea is to adapt OPC techniques for mask aligner lithography and to use assist structures on the mask to compensate for unwanted distortions of the design pattern during lithographic exposure.7 Figure 3 shows a comparison between the simulated light-intensity distribution and experimental photoresist profiles demonstrating the effect of placing assist structures at different positions on the mask.


Figure 4. Using numerical optimization algorithms to find the perfect size, shape, and position for assist features to print 10μm elbows at a 50μm proximity gap. The mask structure without and with numerically optimized assist features is shown in (a) and (b), respectively. The corresponding intensity distributions at the wafer level are shown in (c) and (d), respectively. (Blue stands for low, red for high intensities.) Note the strong suppression of the corner rounding achieved by the assist features.

In a next step, we used numerical optimization algorithms to automatically find the appropriate size, shape, and position of assist structures. Figure 4 shows the result of such a numerically optimized result in comparison to a mask structure without assist features. In this particular case, two different assist structures were needed, one to correct the outer and one to correct the inner corner of the elbow. One of the main challenges for the future will be to develop efficient optimization algorithms that can be used for hundreds of assist structures to perform OPC for entire mask layouts.

In conclusion, we have been able to markedly improve the predictive qualities of mask-aligner lithography simulation by analyzing the illumination system and characteristics of photoresist development. These advances will help to establish computational lithography methods in mask-aligner lithography and possibly enable the introduction of new OPC and SMO techniques, thus extending the range of applications of mask aligners. Our future efforts will concentrate on developing computationally inexpensive methods based on numerical optimization. The goal is to provide end-users of mask aligners with an efficient numerical tool suggesting (simple) changes to the mask layout and angular illumination spectrum aimed at improving process stability and increasing production yield.

We would like to thank S. Partel at the Vorarlberg University of Applied Sciences for providing the dissolution rate monitor data and U. Vogler and A. Bramati at SUSS MicroOptics Switzerland for providing the ray-traced angular illumination spectra. We would also like to thank the Bayerische Forschungsstiftung for funding this work in the framework of the Mask-Aligner Lithography Simulation project.


Kristian Motzek, Andreas Erdmann
Fraunhofer Institute for Integrated Systems and Device Technology (IISB)
Erlangen, Germany

Kristian Motzek obtained his PhD from the Technische Universität Darmstadt (2006) where he was working in nonlinear optics. After working for Zeiss Semiconductor Manufacturing Technology, he joined the Fraunhofer IISB in Erlangen to work on the simulation of mask-aligner lithography and photoresist development.

Michael Hornung
SUSS MicroTec
Garching, Germany
Ulrich Hofmann
GenISys GmbH
Taufkirchen, Germany

References:
1. Y. Oh, J. Lee, S. Lim, Resolution enhancement through optical proximity correction and stepper parameter optimization for 0.12μm mask pattern, Proc. SPIE 3679, pp. 607, 1999. doi:10.1117/12.354373
2. M. Hornung, U. Vogler, R. Voelkel, Customized illumination for process window optimization and yield improvement in mask aligner lithography systems, J. Vac. Sci. Technol. B 28, pp. C6Q6, 2010.
3. K. Motzek, Computational algorithms for optimizing mask layouts in proximity printing, Microelectron. Eng. 88, pp. 2066, 2011.
4. A. Rosenbluth, Optimum mask and source patterns to print a given shape, Proc. SPIE 4346, pp. 486, 2001. doi:10.1117/1.1448500
5. R. Voelkel, Advanced mask aligner lithography: new illumination system, Opt. Exp. 18, pp. 20968, 2010.
6. K. Motzek, Mask aligner lithography simulation—from lithography simulation to process validation, Microelectron. Eng., submitted.
7. K. Motzek, S. Partel, U. Vogler, A. Erdmann, Numerical optimization of illumination and mask layout for the enlargement of process windows and for the control of photoresist profiles in proximity printing, Proc. SPIE 8171, pp. 81710K, 2011. doi:10.1117/12.896755
Recent News
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research