Proceedings Volume 9051

Advances in Patterning Materials and Processes XXXI

cover
Proceedings Volume 9051

Advances in Patterning Materials and Processes XXXI

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 16 April 2014
Contents: 17 Sessions, 68 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2014
Volume Number: 9051

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 9051
  • New EUV Resist Materials: Joint Session with Conferences 9048 and 9051
  • Stochastics and EUV Process Improvements: Joint Session with Conferences 9048 and 9051
  • SEM Simulation and Emulation I: Joint Session with Conferences 9050 and 9051
  • SEM Simulation and Emulation II: Joint Session with Conferences 9050 and 9051
  • New Materials and Processes
  • DSA Materials I
  • DSA Materials and Processes I: Joint Session with Conferences 9049 and 9051
  • Materials and Process Fundamentals
  • Advanced Patterning Processes
  • DSA Materials II
  • Underlayers and Spin-on Materials Processing
  • EUV Materials
  • Poster Session: Advanced Patterning Processes
  • Poster Session: Materials and Process Fundamentals
  • Poster Session: New Materials and Processes
  • Poster Session: Underlayers and Spin-On Materials Processing
Front Matter: Volume 9051
icon_mobile_dropdown
Front Matter: Volume 9051
This PDF file contains the front matter associated with SPIE Proceedings Volume 9051, including the Title Page, Copyright information, Table of Contents, Invited Panel Discussion, and Conference Committee listing.
New EUV Resist Materials: Joint Session with Conferences 9048 and 9051
icon_mobile_dropdown
Novel non-chemically amplified (n-CARs) negative resists for EUVL
We report the lithography performance of novel non chemical amplified (n-CARS) negative photoresist materials which are accomplished by homopolymers and copolymers that are prepared from monomers containing sulfonium groups. The latter have long been found to be sensitive to UV radiation and undergo polarity change on exposure. For this reason, these groups were chosen as radiation sensitive groups in non- CARs that are discussed herein. Novel n-CAR negative resists were synthesized and characterized for EUVL applications, as they are directly sensitive to radiation without utilizing the concept of chemical amplification. The n-CARs achieved 20 and 16 nm L/2S, L/S patterns to meet the ITRS requirements. We will also discuss the sensitivity and LER of these negative n-CARS to e-beam irradiation which will provide a basis for EUVL down to the 16 nm node and below. These new negative tone resist provide a viable path forward for designing non- chemically amplified resists that can obtain higher resolutions than current chemically amplified resists at competitive sensitivities.
Evaluation of vacancies in positive-tone non-chemically and chemically amplified EUV / EB resists: relationship between free-volume and LER
Akihiro Oshima, Toru Hinata, Hirotaka Nakamura, et al.
EUV, X-ray and EB mainly induce ionization in resist materials and its energy deposition process is different from ArF exposure. Linear energy transfer (LET) effect for resist sensitivity is very important issue from the viewpoint of radiation induced chemical reactions for high-volume nanofabrication. Therefore the knowledgebase of radiation chemistry is required for understanding the resist performances for EUV lithography. In order to acquire the knowledge of resist materials for EUV / EB lithography from a viewpoint of the free-volume, the positron annihilation lifetime spectroscopy was carried out using positron probe microanalyzer (PPMA) installed at AIST. The size of free-volume can be evaluated from the lifetime of ortho-Positronium (o-Ps). The lifetime and intensity of o-Ps in EB-exposed positive-tone non-chemically amplified (non-CA, ZEP) and CA (UV-III) EUV / EB resists were observed. Moreover, to evaluate the relationship between line edge roughness (LER) and free-volume, EB lithography was carried out, and then sensitivities (E0 and Esize) and LER were measured. For both non-CA and CA resist materials, the changes of free-volume due to evaporation of outgas, polarity change or chain scission would hardly influence on their LER and resolution.
Stochastics and EUV Process Improvements: Joint Session with Conferences 9048 and 9051
icon_mobile_dropdown
Manufacturability improvements in EUV resist processing toward NXE:3300 processing
Yuhei Kuwahara, Koichi Matsunaga, Takeshi Shimoaoki, et al.
As the design rule of semiconductor process gets finer, extreme ultraviolet lithography (EUVL) technology is aggressively studied as a process for 22nm half pitch and beyond. At present, the studies for EUV focus on manufacturability. It requires fine resolution, uniform, smooth patterns and low defectivity, not only after lithography but also after the etch process. In the first half of 2013, a CLEAN TRACKTM LITHIUS ProTMZ-EUV was installed at imec for POR development in preparation of the ASML NXE:3300. This next generation coating/developing system is equipped with state of the art defect reduction technology. This tool with advanced functions can achieve low defect levels. This paper reports on the progress towards manufacturing defectivity levels and latest optimizations towards the NXE:3300 POR for both lines/spaces and contact holes at imec.
SEM Simulation and Emulation I: Joint Session with Conferences 9050 and 9051
icon_mobile_dropdown
Investigation of interactions between metrology and lithography with a CD SEM simulator
The predictive power of computational lithography is often demonstrated by showing predicted 2D pattern shapes compared with top-down SEM images. However, image formation in a SEM is a complex process [1,2,3], and for most 3D lithography and OPC simulators, line width measurements and 2D pattern shapes are based on extracted resist polygons at a fixed height above the substrate. Generating resist polygon shapes with this method is driven by computationally efficiency instead of an attempt to describe the image formation process in an actual SEM. We present PROLITH photolithography simulations combined with simulation of the CD SEM to investigate the interactions between lithography and metrology. Our CD SEM simulator is a simplification of the complicated image formation process [4], but it captures many effects seen experimentally. For example, narrow trenches and contact holes are dark at the bottom in our simulated SEM images, while for isolated lines, the sidewall of the photoresist can clearly be observed all the way to the resist foot at the substrate. This simple result has important implications when evaluating lithographic phenomena such as LWR: for polygon-based metrology, simulated LWR is approximately constant with resist thickness; by contrast, the LWR increases with decreasing thickness when the same simulated 3D resist profiles are evaluated with the CD SEM simulator.
SEM Simulation and Emulation II: Joint Session with Conferences 9050 and 9051
icon_mobile_dropdown
Assessing SEM contour based OPC models quality using rigorous simulation
OPC model of high quality relies on the accumulation of thousands of CD-SEM measurements with the drawback of long cycle time for data collection. Moreover regular CD measurements are not robust when dealing with critical bi-dimensional structures. In this paper, we propose to use SEM image contours for OPC model calibration and demonstrate the advantage in term of metrology work load. Two set of contours based on resist top and resist bottom measurements are extracted after lithography to generate simultaneously two OPC models. The performances of both models are evaluated with respect to rigorous S-Litho simulations. The model based on the resist bottom is very well matched with the rigorous simulation whereas the model based on resist top is not always following the rigorous simulation. It appears that resist thickness variations on specific hotspots is not compatible with the assumption of a simulated contour located in a single plane in resist.
SEM simulation for 2D and 3D inspection metrology and defect review
Shimon Levi, Ishai Schwartsband, Sergey Khristo, et al.
Advanced SEM simulation has become a key element in the ability of SEM inspection, metrology and defect review to meet the challenges of advanced technologies. It grants additional capabilities to the end user, such as 3D height measurements, accurate virtual metrology, and supports Design Based Metrology to bridge the gap between design layout and SEM image. In this paper we present SEM simulations capabilities, which take into consideration all parts of the SEM physical and electronic path, interaction between Electron beam and material, multi perspective SEM imaging and shadowing derived from proximity effects caused by the interaction of the Secondary Electrons signal with neighboring pattern edges. Optimizing trade-off between simulation accuracy, calibration procedures and computational complexity, the simulation is running in real-time with minimum impact on throughput. Experiment results demonstrate Height measurement capacities, and CAD based simulated pattern is compared with SEM image to evaluate simulated pattern fidelity.
New Materials and Processes
icon_mobile_dropdown
Chiral nanomaterial fabrication by means of on-edge lithography
Kay Dietrich, Dennis Lehr, Oliver Puffky, et al.
We present an approach named on-edge lithography, where chiral shaped pattern are yield through the combination of electron beam lithography in variable shape or cell projection mode and shadow evaporation. On one hand, we describe the process and reveal advantages when opposed to other common nano fabrication techniques. On the other hand, we also figure out challenges for successful technological application. Finally we demonstrate the useability of the process by revealing SEM pictures of a couple of realized chiral nanostructures. In order to evaluate the process we further present optical measurements. We find peak values of circular dichroism of 44% at 420 THz (715 nm). The process is applicable on large scale and to the fullest compatible with other nano-lithographic tools e.g. nanoimprint replication techniques, thus pushing chiral nanomaterial fabrication towards highest efficiency.
Positive tone cross-linked resists based on photoacid inhibition of cross linking
A resist imaging design that utilizes photoacid inhibition of cationic polymerization and cross-linking during a postexposure bake step has been studied. The key to the design approach is the use of two different polymerization catalysts/initiators: (1) a photoacid produced from a photoacid generator (PAG) upon exposure of the resist that can result in polymerization and cross-linking of the resist matrix and (2) a thermal cross-linking catalyst (TCC) designed to thermally catalyze epoxide-phenol cross-linking. The TCC can be chosen from a variety of compounds such as triphenylphosphine (TPP) or imidazole. When only one of these catalysts (e.g TPP or photoacid) is present in an epoxide and phenol containing resist matrix, it will individually catalyze cross-linking. When they are present together, they effectively quench one another and little to no cross-linking occurs. This approach can be used to switch the tone of a resist from negative (photoacid catalyzed) to positive (TCC catalyzed and photoacid inhibited). The effect of the ratio of TCC:PAG was examined and the optimal ratio for positive tone behavior was determined. Resist contrast can be modified by optimization of epoxide:phenol ratio in the formulation. Dual tone behavior with positive tone at low dose and negative tone at higher doses can be observed in certain formulation conditions. Initial EUV patterning shows poor results, but the source of the poor imaging is not yet understood.
Nanopatterning with tailored molecules
Florian Wieberger, Tristan Kolb, Christian Neuber, et al.
Star block copolymer synthesis was performed in a controlled fashion by an in-situ core first ATRP route. The obtained resist materials on the basis of industrial used monomers with tailored star block copolymer architecture were systematically characterized and patterned. In dissolution investigations an excellent dissolution contrast between exposed and unexposed state was identified for this new resist material type. Additionally, the materials show an excellent sensitivity, which surpass the reference linear copolymer by a factor of eight. By a combinatorial resist optimization realized high resolution features are presented. Finally, preliminary results utilizing a further improved resist material design are shown.
Line width roughness reduction by rational design of photoacid generator for sub-millisecond laser post-exposure bake
Jing Jiang, Michael O. Thompson, Christopher K. Ober
Sub-millisecond laser post-exposure bake (PEB) is an alternative technology to address the excessive acid diffusion for chemically amplified photoresist systems. By rationally designing the resist, laser post-exposure bake is able to improve the resolution and reduce the line width roughness (LWR) compared to patterns exposed under the same conditions but using conventional hotplate PEB. It was found that only the resist with high deprotection activation energy and low diffusion activation energy showed improved performance using laser PEB. Accordingly, a PAG was designed to have low acid diffusivity by binding the counter ions to a molecular glass core while keeping photophysical properties and processing conditions similar to a conventional PAG. By reducing the diffusivity of the counter ions, the PAG was able to further reduce LWR by 60% using laser PEB.
DSA Materials I
icon_mobile_dropdown
Process optimization of templated DSA flows
Directed Self-Assembly (DSA) of Block Co-Polymers (BCP) has become an intense field of study as a potential patterning solution for future generation devices. The most critical challenges that need to be understood and controlled include pattern placement accuracy, achieving low defectivity in DSA patterns and how to make chip designs DSA-friendly. The DSA program at imec includes efforts on these three major topics. Specifically, in this paper the progress in setting up flows for templated DSA within the imec program will be discussed. A process has been implemented based on a hard mask as the template layer. In this paper primarily the impact of local pattern density and BCP film thickness on the templated DSA process are discussed. The open hole rate and the placement accuracy of BCP patterns within the template are the primary figures of merit.
An in-situ hard mask block copolymer approach for the fabrication of ordered, large scale, horizontally aligned, Si nanowire arrays on Si substrate
Tandra Ghoshal, Ramsankar Senthamaraikannan, Matthew T. Shaw, et al.
We report a simple technique to fabricate horizontal, uniform Si nanowire arrays with controlled orientation and density at spatially well defined locations on substrate based on insitu hard mask pattern formation approach by microphase separated polystyrene-b-poly(ethylene oxide) (PS-b-PEO) block copolymer (BCP) thin films. The methodology may be applicable to large scale production. Ordered microphase separated patterns of the BCP were defined by solvent annealing and the orientation was controlled by film thickness and annealing time. Films of PEO cylinders with parallel orientation (to the surface plane) were applied to create ‘frames’ for the generation of inorganic oxide nanowire arrays. These PEO cylinders were subject to selective metal ion inclusion and subsequent processing was used to create iron oxide nanowire arrays. The oxide nanowires were isolated, of uniform diameter and their structure a mimic of the original BCP nanopatterns. The phase purity, crystallinity and thermal stability of the nanowires coupled to the ease of large scale production may make them useful in technological applications. Here, we demonstrate that the oxide nanowire arrays could be used as a resist mask to fabricate densely packed, identical ordered, good fidelity silicon nanowire arrays on the substrate. The techniques may have significant application in the manufacture of transistor circuitry.
Investigation of cross-linking poly(methyl methacrylate) as a guiding material in block copolymer directed self-assembly
Robert Seidel, Paulina Rincon Delgadillo, Abelardo Ramirez-Hernandez, et al.
Directed self-assembly (DDSA) of block copolymers ((BCP) is attracting a growing amount of interest as a techhnique to expand traditional lithography beyond its current limits. It has reecently been demonstrated that chemoepitaxy can be used to successfully ddirect BCP assembly to form large arrays off high-density features. The imec DSA LiNe flow uses lithography and trim-etch to produce a “prepattern” of cross-linked polystyrene (PS) stripes, which in turn guide the formation of assembled BCPP structures. Thhe entire process is predicated on the preferential interaction of the respective BCP domains with particular regionss of the underlying prepattern. The use of polystyrene as the guiding material is not uniquely required, however, and in fact may not even be preferable. This study investigates an alternate chemistry –– crosslinked poly(methyl methacrylate), X-PMMA, –– as the underlying polymer mat, providing a route to higher auto-affinity and therefore a stronger guiding ability. In addition to tthe advantages of the chemistry under investigation, this study explores the broader theme of extending BCP DSA to other materials.
Novel surface treatment materials for aligning block-co-polymer in directed self-assembly processes
Yasunobu Someya, Hiroyuki Wakayama, Takafumi Endo, et al.
Directed Self-Assembly (DSA) process is one of the attractive processes for creating the very fine pitch pattern. Especially, the contact hole shrink processes with block-co-polymer (BCP) or polymer blend materials were attractive processes for creating very small size hole patterns with better CD uniformity compare to general photo-lithography patterning. In general contact hole shrink process, the pattern of Spin-on Carbon Hardmask (SOC) or the photo Resist pattern created by Negative-Tone Development (NTD) process were selected for guide patterns. Since the alignment property of BCP was affected by the surface of these guide materials, it is important to control the surface condition of guide in order to obtain good shrunk contact hole patterns. In this study, we will report the surface treatment materials to control the surface condition of guide patterns such as SOC or NTD resist to achieve the better contact hole shrink performance. These materials were attached to guide pattern surface and controlled the surface energy.
Directed self-assembly process integration: Fin patterning approaches and challenges
Safak Sayan, B. T. Chan, Roel Gronheid, et al.
Resolution requirements for photolithography have reached beyond the wavelength of light. Consequently, it is becoming increasingly complicated and expensive to further minimize feature dimensions as required to push the limits of Moore’s law. EUV lithography has been the much anticipated solution; however, its insertion timing for High Volume Manufacturing is still an uncertainty due to source power and EUV mask infrastructure limitations. Extending the limits of 193nm immersion lithography requires pitch division using either Double Patterning Pitch Division (DPPD), and/or Spacer Based Pitch Division (SBPD) schemes (e.g. Hard mask image transfer methods (Double, Triple, Quadruple)). While these approaches reduce pitch, there is an associated risk/compromise of process complexity, and overlay accuracy budget issues. Directed Self Assembly (DSA) processes offer the promise of providing alternative ways to extend optical lithography cost-effectively for sub-10nm nodes and present itself as an alternative pitch division approach. As a result, DSA has gained increased momentum in recent years, as a means for extending optical lithography past its current limits. The availability of a DSA processing line can enable to further push the limits of 193nm immersion lithography and overcome some of the critical concerns for EUV lithography. Robust etch transfer of DSA patterns into commonly used device integration materials such as silicon, silicon nitride, and silicon dioxide had been previously demonstrated [1,2]. However DSA integration to CMOS process flows, including cut/keep structures to form fin arrays, is yet to be demonstrated on relevant film stacks (front-end-of-line device integration such as hard mask stacks, and STI stacks). Such a demonstration will confirm and reinforce its viability as a candidate for sub-10nm technology nodes.
DSA Materials and Processes I: Joint Session with Conferences 9049 and 9051
icon_mobile_dropdown
High-volume manufacturing equipment and processing for directed self-assembly applications
Mark Somervell, Takashi Yamauchi, Soichiro Okada, et al.
Directed Self-Assembly (DSA) is one of the most promising technologies for scaling feature sizes to 16 nm and below. Both line/space and hole patterns can be created with various block copolymer morphologies, and these materials allow for molecular-level control of the feature shapes—exactly the characteristics that are required for creating high fidelity lithographic patterns. Over the past five years, the industry has been addressing the technical challenges of maturing this technology by addressing concerns such as pattern defectivity, materials specifications, design layout, and tool requirements. Though the learning curve has been steep, DSA has made significant progress toward implementation in high-volume manufacturing. Tokyo Electron has been focused on the best methods of achieving high-fidelity patterns using DSA processing. Unlike other technologies where optics and photons drive the formation of patterns, DSA relies on surface interactions and polymer thermodynamics to determine the final pattern shapes. These phenomena, in turn, are controlled by the processing that occurs on clean-tracks, etchers, and cleaning systems, and so a host of new technology has been developed to facilitate DSA. In this paper we will discuss the processes and hardware that are emerging as critical enablers for DSA implementation, and we will also demonstrate the kinds of high fidelity patterns typical of mainstream DSA integrations.
Materials and Process Fundamentals
icon_mobile_dropdown
An in situ analysis of resist dissolution in alkali-based and organic solvent-based developers using high speed atomic force microscopy
This work discusses about the in situ characterization of pattern formation during resist dissolution using a high-speed atomic force microscope. The focus of which is the analysis of the dissolution characteristics of a polyhydroxystryrene (PHS)-methacrylate hybrid resist material during negative-tone development (NTD) with an organic solvent developer in comparison to positive-tone development (PTD) with aqueous alkali-based developers. To further understand how pattern formation occurs for this type of resist in such developers, two versions of the same resist were prepared with low and high polymer resin molecular weights (MW). Lithographic exposure evaluations of these resists showed that the lower MW resist (×0.4 MW compared to the high MW resist) resulted in improved resolution and smoother patterns after development (for both PTD and NTD). During development, HS-AFM in situ analysis results show that a lower MW induces smoother patterns and smaller dissolution units. This was especially distinct during development in NTD. Such results suggest the effect of material MW and its dissolution characteristics on the quality of pattern formed.
In-situ analysis of defect formation in coat develop track process
Typical defects to be resolved during coat-develop track processing have been confirmed during each resist generation; I-line, KrF, ArF, ArF immersion, and recently EUVV.[1-5] In this study, two types of defect formation were analyzed: organic film post coating non-uniformity spots and post develop water-marks. During substrate rotation,, a high-speed video camera is used to observe characteristic phenomena which lead to the generation of these rather typical defects. Post coating non-uniformity defects were linked to bubble formation, and post develop defects were associated with thee wafer drying conditions. By correlating high-speed camera images and defect inspection results from several different resists we can disclose the defect generation mechanism of multiple typical phenomena.
Methods of controlling cross-linking in negative-tone resists
Negative tone resists based on cross-linking via epoxide/cationic polymerization have a variety of potential advantages over more traditional positive tone resists based on photoacid catalyzed deprotection including low outgassing, intrinsic diffusion control, and improved pattern collapse performance through the higher modulus provided by a cross-linked network. Based on the promising baseline performance achieved previously in simple negative tone systems composed only of an epoxide functionalized molecular glass and a photoacid generator, a series of different methods and additives that can be used to control the extent and rate of cross-linking in such systems have been developed and are reported here which allow for even further improvement in resist performance. Simple addition of base quencher, as is used in conventional chemically amplified resists, is ineffective in these systems because the patterning reaction mechanism is different. Any control method must work by modifying the extent and rate of cationic polymerization of epoxides. By adding molecules containing phenolic OH groups to such an epoxide resist, one can slow the extent of cross-linking due to introduction of an additional reaction pathway and often a concomitant increase in the resist resin glass transition temperature. Generalized additives similar to base quencher were also developed based on the addition of strong nucleophiles such as triphenylphosphine which act essentially as chain termination agents. This approach allows for improved resolution and LER in negative tone epoxide resist systems. A more superior additive was developed that can be described as a photodecomposable nucleophile (PDN). The unexposed PDN acts similarly to the strong nucleophile additives in that it terminates chain propagation. Upon exposure, the PDN can act like a chain transfer agent or an additional initiator, but no longer has the effect of completely terminating chain propagation. This approach allows for high levels of control in the nominally unexposed regions of the resist, but maintains high efficiency of cross-linking in the most highly exposed regions. One particular implementation of a PDN used in this study is the blending of a PAG (i.e. triphenylsulfonium triflate, TPS-Tf) with a more nucleophilic anion that plays the role of a PDN, with the common and highly effective, non-nucleophilic PAG that is conventionally used in epoxide photopolymerizations (i.e. triphenylsulfonium hexafluoroantimonate, TPS-SbF6). Addition of only a few percent of TPS-Tf to a baseline epoxide resist formulation shows a 5-10 nm improvement in ultimate resolution and a reduction in LER to around 65% as compared to the baseline resist without the PDN additive while only incurring a moderate increase in imaging dose. By modulating the amount of the different polymerization control additives, the performance of a particular epoxide resist was improved from a resolution of greater than 30 nm half pitch and an LER of around 9 nm to a resolution of ~20 nm half pitch, with an LER of around 4 nm, and a sensitivity of 18 mJ/cm2. By increasing the additive loading even further, the resolution was improved to ~18 nm half pitch, although with an increase in imaging dose to 39 mJ/cm2.
How to design a good photoresist solvent package using solubility parameters and high-throughput research
Michael P. Tate, Charlotte Cutler, Mike Sakillaris, et al.
Understanding fundamental properties of photoresists and how interactions between photoresist components affect performance targets are crucial to the continued success of photoresists. More specifically, polymer solubility is critical to the overall performance capability of the photoresist formulation. While several theories describe polymer solvent solubility, the most common industrially applied method is Hansen’s solubility parameters. Hansen’s method, based on regular solution theory, describes a solute’s ability to dissolve in a solvent or solvent blend using four physical properties determined experimentally through regression of solubility data in many known solvents. The four physical parameters are dispersion, polarity, hydrogen bonding, and radius of interaction. Using these parameters a relative cohesive energy difference (RED), which describes a polymer’s likelihood to dissolve in a given solvent blend, may be calculated. Leveraging a high throughput workflow to prepare and analyze the thousands of samples necessary to calculate the Hansen’s solubility parameters from many different methacrylate-based polymers, we compare the physical descriptors to reveal a large range of polarities and hydrogen bonding. Further, we find that Hansen’s model correctly predicts the soluble/insoluble state of 3-component solvent blends where the dispersion, polar, hydrogen-bonding, and radius of interaction values were determined through regression of experimental values. These modeling capabilities have allowed for optimization of the photoresist solubility from initial blending through application providing valuable insights into the nature of photoresist.
ICE: Ionic contrast enhancement for organic solvent negative tone develop
The use of organic solvents in the development of chemically amplified (CA) resists has been known since the introduction of DUV lithography into manufacturing over twenty years ago [1,2]. In this approach a negative tone image is produced using an aqueous base developable positive tone resist developed in an organic solvent. Recently there has been an increased interest in negative tone imaging due to superior performance for specific masking levels such as narrow trenches and contact holes [3]. Negative tone imaging of this type is based on differences in the polarity between the exposed and unexposed regions of the resist film. The dissolution contrast can be optimized by selecting a solvent with the proper match of solubility parameters (polarity, hydrogen bonding and dispersion) to attain good solubility of the relatively nonpolar unexposed resist and poor solubility of the deprotected acidic exposed film. Another approach is to tune the properties of the resist polymer for a given solvent, creating a new optimized resist. We have explored a third methodology to achieve a high contrast solvent developable system without a need to modify resist or solvent. In this report we describe a process that exploits the differences in solubility between ionic and organic materials. In this method an ionic species is introduced into the resist film following post-exposure bake to alter the polarity in such way that the resist contrast can be improved in organic solvent development. We describe processes using pre-rinses and developers containing salts. Lithographic response, characterized using contrast curves and imaging, is presented for a variety of resist platforms. We show evidence for ionic incorporation into the resist film using SIMS, XPS, QCM and FTIR characterization. We demonstrate the practical applicability of this method to 248nm, 193nm, e-beam and EUV exposures.
Introduction of an innovative water based photoresist stripping process using intelligent fluids
Matthias Rudolph, Xaver Thrun, Dirk Schumann, et al.
The usage of phasefluid based stripping agents to remove photoresists from silicon substrates was studied. Due to their highly dynamic inner structure phasefluids offer a new working principle, they are penetrating layers through smallest openings and lift off the material from the surface. These non-aggressive stripping fluids were investigated regarding their cleaning efficiency as well as contamination behavior to enable usage in semiconductor and MEMS manufacturing. A general proof of concept for the usage of phasefluids in resist stripping processes is shown on silicon coupons and BKM’s are given for different resist types. In addition a baseline process on 12inch wafers has been developed and characterized in terms of metallic and ionic impurities and defect level.
Advanced Patterning Processes
icon_mobile_dropdown
Reduction of image placement error on photomask-making for multiple patterning
Takahiro Hiromatsu, Toru Fukui, Kenta Tsukagoshi, et al.
To make photomasks with high overlay accuracy, “Charge Dissipation Layer (CDL)” materials have been developed. Commercialized CDL materials can reduce electro-static charging on the surface of resist during electron beam exposure. However, some side effects are introduced to the mask-making process. The resolution performance of chemically amplified resist (CAR) is degraded owing to acid diffusion from the CDL components to the resist surface. A newly developed CDL solved this problem by controlling the acid diffusion. A positive-tone CAR with the CDL showed no resolution degradation, and performance was maintained for over 30 days after coating CDL and resist. Furthermore, the CDL has been evaluated on a negative-tone CAR which is more sensitive to CDL.
Robust complementary technique with multiple-patterning for sub-10 nm node device
Extreme ultraviolet (EUV) lithography is the leading candidate for sub-20nm half-pitch (hp) patterning solution, but the development of a high-output light source is still in progress thereby delaying the adoption of EUV for mass production. The evolution of 193nm immersion lithography-an exposure technology currently used in the mass production of all advanced devices-must therefore be extended, and to this end, self-aligned multiple patterning (SAMP) processes have come to be used to achieve further down scaling. To date, we have demonstrated the effectiveness of self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) as innovative processes and have reported on world-first scaling results at SPIE on several occasions. However, for critical layers in FinFET devices that presume a 1D cell design, there is also a need not just for the scaling of grating patterns but also for line-cutting techniques (grating and cutting). Under the theme of existing- technology extension to sub-10nm logic nodes, this paper presents the potential solutions of sub-10nm hp resolution by self-aligned octuple patterning (SAOP) and discusses the limits of shrink technology in cutting patterns.
Advanced develop processes for reducing defects related with e-beam resists
Byunghoon Lee, Sung-Jae Han, Se-Gun Moon, et al.
Masks used for sub-20 nm half pitch of devices are required to be defect-free as well as to have more complicate and smaller patterns. For higher resolution for sub-20 nm device, the masks that can provide wider process windows on wafers are made using new e-beam resists and new mask materials. An introduction of advanced mask systems needs methodologies to overcome defect challenges that did not occur at previous mask systems. The defects should be related with chemical and physical properties from negative and positive e-beam resists or/and new type blanks used for advanced masks such as EUV or optical masks. As a mask pattern size is shrunken, the masks also have complicate structures and different surface properties from low end mask systems. Defect removal on the masks is important even at a develop process among mask manufacturing processes. This paper reports that advanced technology applications on mask develop processes have been performed to remove defects on the masks. First, a new rinse system has applied into a mask develop process for defect reduction. Second, a new develop process was also performed to remove defects on masks. The new develop process combined with the new rinse system has reduced more than 50% of defects including e-beam resist residue defects and other defects. This paper mainly focuses on defects related to negative and positive resists on masks and their solutions to reduce or/and remove the defects, which are used for sub-20 nm half pitch of devices, in terms of mask develop process.
Recent progress on multiple-patterning process
The optical projection technique with evolution of Exposure wave length (λ) and Numerical Aperture (NA) has been historically driven Photolithographic scaling. Although the delay of EUV tool for HVM has been concerned, scaling is going on steadily after limitation of 193nm-immersion technique. Double patterning process has been firstly adopted in 30nm node device of memory device, and evolved step by step from SADP, SAQP to SAOP [1][2][3]. Self-Aligned Multiple-Patterning (SAMP) with 193-immersion is getting most promising technology for further downwards scaling at the present. For the extension of 193-immersion, many solutions in mask and illumination area were suggested, and these are represented by SMO (Source and Mask Optimization) and linked to “Computational lithography”. Furthermore, the change of device layout design to 1D (Single directional) layout [4] is the solution to mitigate several process issues, which are represented by process variability, pattern fidelity and Edge placement error (EPE). This paper presents the results of observing pattern fidelity in the multiple patterning process from many aspects and the results of testing a technique for high-accuracy management of pattern fidelity in 1D layout.
Novel and cost-effective multiple patterning technology by means of invisible SiOxNy hardmask
Linus Jang, Young Joon Moon, Ryoung-Han Kim, et al.
The Cost of Ownership (CoO) for semiconductor processing has been primarily dominated by lithography. In multiple patterning processes, additional materials and the impact to throughput of multiple patterning passes appear to become additional major contributors to manufacturing cost as well. We introduce SiOxNy hardmask as a new memorization layer for multiple patterning that addresses the non-lithographic cost contributor to manufacturing. The optical constants of the SiOxNy hardmask are matched to those of the photoresist at the imaging wavelength, and that makes it invisible at the exposure wavelength, enabling lithography directly over the hardmask topography, while at the same time it will be visible to those wavelengths that are used for alignment and overlay. The SiOxNy hardmask is inserted below the photoresist which will make the rework and integration schemes much simpler and result in cost savings by replacing only photoresist layers during multiple patterning processes. Additionally, by eliminating the need for traditional spincast planarization and the associated tri-layer etch we can improve the critical dimension uniformity (CDU) and reduce proximity contributions from etch, and their respective etch proximity corrections. In this work, we engineered the lithographic stack to be compatible with the invisible SiOxNy hardmask. Lithographic process windows, CDU, and LER/LWR are compared with conventional tri-layer stack and we demonstrate triple patterning memorized into the SiOxNy hardmask after which patterns are then transferred, at once, into the bottom integrated stack. Finally, major benefits of using the invisible hardmask on device scaling and patterning challenges are discussed, such as for LE2, LE3, and trench and cut patterning.
DSA Materials II
icon_mobile_dropdown
Manufacturability considerations for DSA
Richard A. Farrell, Erik R. Hosler, Gerard M. Schmid, et al.
Implementation of Directed Self-Assembly (DSA) as a viable lithographic technology for high volume manufacturing will require significant efforts to co-optimize the DSA process options and constraints with existing work flows. These work flows include established etch stacks, integration schemes, and design layout principles. The two foremost patterning schemes for DSA, chemoepitaxy and graphoepitaxy, each have their own advantages and disadvantages. Chemoepitaxy is well suited for regular repeating patterns, but has challenges when non-periodic design elements are required. As the line-space polystyrene-block-polymethylmethacrylate chemoepitaxy DSA processes mature, considerable progress has been made on reducing the density of topological (dislocation and disclination) defects but little is known about the existence of 3D buried defects and their subsequent pattern transfer to underlayers. In this paper, we highlight the emergence of a specific type of buried bridging defect within our two 28 nm pitch DSA flows and summarize our efforts to characterize and eliminate the buried defects using process, materials, and plasma-etch optimization. We also discuss how the optimization and removal of the buried defects impacts both the process window and pitch multiplication, facilitates measurement of the pattern roughness rectification, and demonstrate hard-mask open within a back-end-of-line integration flow. Finally, since graphoepitaxy has intrinsic benefits in terms of design flexibility when compared to chemoepitaxy, we highlight our initial investigations on implementing high-chi block copolymer patterning using multiple graphoepitaxy flows to realize sub-20 nm pitch line-space patterns and discuss the benefits of using high-chi block copolymers for roughness reduction.
Formation of sub-7 nm feature size PS-b-P4VP block copolymer structures by solvent vapour process
Atul Chaudhari, Tandra Ghoshal, Matthew T. Shaw, et al.
The nanometer range structure produced by thin films of diblock copolymers makes them a great of interest as templates for the microelectronics industry. We investigated the effect of annealing solvents and/or mixture of the solvents in case of symmetric Poly (styrene-block-4vinylpyridine) (PS-b-P4VP) diblock copolymer to get the desired line patterns. In this paper, we used different molecular weights PS-b-P4VP to demonstrate the scalability of such high χ BCP system which requires precise fine-tuning of interfacial energies achieved by surface treatment and that improves the wetting property, ordering, and minimizes defect densities. Bare Silicon Substrates were also modified with polystyrene brush and ethylene glycol self-assembled monolayer in a simple quick reproducible way. Also, a novel and simple in situ hard mask technique was used to generate sub-7nm Iron oxide nanowires with a high aspect ratio on Silicon substrate, which can be used to develop silicon nanowires post pattern transfer.
New materials for directed self-assembly for advanced patterning
Jieqian Zhang, Janet Wu, Mingqi Li, et al.
Directed Self-Assembly (DSA) of block copolymers is a candidate advanced patterning technology at future technology nodes. Although DSA promises resolution and cost benefits, a number of constraints and challenges remain for its implementation. Poly(styrene-block-methyl methacrylate) (PS-b-PMMA) has been widely studied in DSA and applied in various applications to demonstrate the potential of DSA to extend optical lithography, including line space and contact hole patterning and uniformity repair,. However, the relatively weak segregation strength of PS-b-PMMA limits its capability to pattern sub-10 nm features. This paper presents the use of strongly segregated high X block copolymers to enable sub-10 nm patterning. Chemoepitaxy DSA with high X lamellar block copolymers is demonstrated with two different strategies based on thermal annealing process and no top coat. These technologies hold promise to enable the implementation of DSA at future technology nodes.
Underlayers and Spin-on Materials Processing
icon_mobile_dropdown
Extending lithography with advanced materials
Material evolution has been a key enabler of lithography nodes in the last 30 years. This paper explores the evolution of anti-reflective coatings and their transformation from materials that provide only reflection control to advanced multifunctional layers. It is expected that complementary processes that do not require a change in wavelength will continue to dominate the development of new devices and technology nodes. New device architecture, immersion lithography, negative-tone development, multiple patterning, and directed self-assembly have demonstrated the capabilities of extending lithography nodes beyond what anyone thought would be possible. New material advancements for future technology nodes are proposed.
Spin-on organic hardmask for topo-patterned substrate
Carbon rich hard mask underlayer (UL) material deposition has become inevitable process in all advanced lithography applications. UL processes which include chemical vapor deposition (CVD) and spin-on UL play a very important role for pattern transfer from patterned thin photoresist to the substrate. UL materials must satisfy several requirements, which have become more demanding with device shrinkage and increasing device complexity (FinFET, 3D integration). The most important properties of next generation UL materials are superior wiggle resistance, etch controllability, thermal resistance, planarization, and gap filling performance. In particular, planarization and gap fill properties of UL material for application on topo-patterned substrate are receiving much attention recently. CVD processes generally give better wiggle performance and thermal resistance, but poorer planarization and gap filling performance than spin-on UL processes. In addition, Cost of Ownership (CoO) of CVD process is higher than that of a spin-on UL process. Therefore spin-on organic hard mask (OHM) process has been investigated as an attractive alternative to CVD processing. In this paper, we focus on an investigation of key properties of spin-on UL materials for achieving good planarity and gap filling performance on topo-patterned substrate. Various material properties such as solution viscosity, glass transition temperature (Tg), and film shrinkage ratio were evaluated and correlations between these properties and planarization were discussed.
EUV lithography and etching performance enhancement by EUV sensitive Si hard mask (EUV Si-HM) for 1Xnm hp generation
Tri-layer process is the one of the key technique both for lithography and etching around Hp20nm patterning. In applying for tri-layer process, we are focusing on inorganic type under layer which mainly containing Si atoms. This Si type hard mask (Si-HM) can perform not only as the Lithography performance enhancement layer for fine pitch, but also as the etching hard mask against bottom layer (spin on carbon : SOC). In this paper, we propose our new Si-HM concepts to achieve high sensitivity, wide process window and good line edge roughness for hp 1Xnm generation. The key point of our concepts is EUV sensitive unit in Si-HM. Our EUV sensitive unit strongly promotes acid generation from PAG of EUV photo resist. Especially, for EUV NTD lithography process, EUV sensitive unit can perform as the adhesion enhancer between Si-HM and photo resist at EUV exposed area. As this result, hp18nm L/S pattern and hp24nm C/H pattern were successfully achieved by applying the EUV sensitive Si-HM in EUV PTD process. Especially, as compared to organic UL, the 4th generation EUV sensitive Si-HM showed 5~10% higher sensitivity and 10~25% wider process window (DOF and EL) with keeping LER. Moreover this EUV-sensitive Si-HM could also enhance the ultimate resolution to Hp22nm L/S in EUV NTD process. On the other hand, from the view point of etching hard mask, around hp 19 nm Si-HM L/S pattern could be transferred to SOC layer successfully. We will present the high resolution concepts and performances of our latest EUV sensitive Si-HM for 1X nm generation in EUV lithography.
A chemical underlayer approach to mitigate shot noise in EUV contact hole patterning
Jin Li, Ide Yasuaki, Shigemasa Nakasugi, et al.
Shot noise is a significant issue in EUV lithography, especially in printing small area features like contact holes. This brings about LCDU (Local CD Uniformity) issue and LCDU-sensitivity tradeoff. This paper describes efforts to alleviate this issue through a novel EUV Underlayer (UL) chemistry design approach. The novel component “buffer” was introduced into EUV UL formulations to balance back exposure energy from UL to the resist at different incident positions. Measured back exposure dose from UL shows much lower variation (6σ/mean) compared with shot noise of resist absorbed dose. Thus summed energy variation will be suppressed when counting back exposure effect of UL, namely shot noise is reduced. Through reported shot noise model, our calculation suggests 30% sensitivity improvement and 13.4% shot noise suppression can be expected. Actual lithographic evaluations demonstrated simultaneous LCDU and sensitivity improvement. The feasibility of 30% sensitivity improvement by Metal hard mask (MHM) material was tested. The combination of buffer functionalized UL and MHM was modeled.
Anti-spacer double patterning
Michael Hyatt, Karen Huang, Anton DeVilliers, et al.
With extreme UV not ready for HVM for the 20nm and 14nm nodes, double patterning options that extend the use of 193nm immersion lithography beyond the optical resolution limits, such as LELE (Litho-Etch-Litho-Etch) and SADP (Self Aligned Double Patterning), are being used for critical layers for these nodes. LELE requires very stringent overlay capability of the optical exposure tool. The spacer scheme of SADP starts with a conformal film of material around the mandrels and etched along the mandrel sidewalls to form patterns with doubled frequency. SADP, while having the advantage of being a self-aligned process, adds a number of process steps and strict control of the mandrel profile is required. In this paper, we will demonstrate a novel technique - ASDP (Anti-Spacer Double Patterning), which uses only spin-on materials to achieve self-aligned double patterning. After initial resist patterning, an Anti-Spacer Generator (ASG) material is coated on the resist pattern to create the developable spacer region. Another layer of material is then coated and processed to generate the second pattern in between the first resist pattern. We were able to define 37.5nm half pitch pattern features using this technique as well as sub-resolution features for an asymmetric pattern. In this paper we will review the capability of the process in terms of CD control and LWR (line width roughness) and discuss the limitations of the process.
EUV Materials
icon_mobile_dropdown
Optimization of fullerene-based negative tone chemically amplified fullerene resist for extreme ultraviolet lithography
A. Frommhold, D. X. Yang, A. McClelland, et al.
While the technological progress of Next Generation Lithography (NGL) steadily continues, further progress is required before successful insertion in high volume manufacturing is possible. A key issue is the development of new resists suitable to achieve higher lithographic resolution with acceptable sensitivity and line edge roughness. Molecular resists have been a primary focus of interest for NGL because they promise high resolution and small line edge roughness (LER), but no suitable resist candidate has emerged yet that fulfills all of the industry’s criteria. We have previously shown first extreme ultraviolet lithography (EUVL) exposures for a new fullerene derivative based three-component negative tone chemically amplified resist with suitable properties close to or within the target range of the resist metrics as set out in the International Technology Roadmap for Semiconductors for 2016. Here we present the results of our efforts to optimize the EUVL performance of our resist system especially with regards to LER.
Patterning chemistry of HafSOx resist
Jenn M. Amador, Shawn R. Decker, Stefan E. Lucchini, et al.
A combination of ICP-OES, titration, and Raman spectroscopy was used to determine the ratio of peroxide to hafnium in the inorganic photoresist HafSOx. By using ICP-OES to determine the hafnium concentration and titration with permanganate to determine peroxide in a solution of dissolved films, the Hf:O22- ratio was found to be approximately 2:1 in the films. From Raman measurements on precursor solutions, it was determined that that Hfbound peroxide saturated at this level. Film insolubility is induced through loss of approximately 75% of bound peroxide following exposure to a 30-keV electron beam.
EUV resists based on tin-oxo clusters
Brian Cardineau, Ryan Del Re, Hashim Al-Mashat, et al.
We have studied the photolysis of tin clusters of the type [(RSn)12O14(OH)6] X2 using extreme ultraviolet (EUV, 13.5 nm) light, and developed these clusters into novel high-resolution photoresists. A thin film of [(BuSn)12O14(OH)6][p-toluenesulfonate]2 (1) was prepared by spin coating a solution of (1) in 2-butanone onto a silicon wafer. Exposure to EUV light caused the compound (1) to be converted into a substance that was markedly less soluble in aqueous isopropanol. To optimize the EUV lithographic performance of resists using tin-oxo clusters, and to gain insight into the mechanism of their photochemical reactions, we prepared several compounds based on [(RSn)12O14(OH)6] X2. The sensitivity of tin-oxide films to EUV light were studied as a function of variations in the structure of the counter-anions (X, primarily carboxylates) and organic ligands bound to tin (R). Correlations were sought between the EUV sensitivity of these complexes vs. the strength of the carbon-carboxylate bonds in the counteranions and vs. the strength of the carbon-tin bonds. No correlation was observed between the strength of the carboncarboxylate bonds in the counter-anions (X) and the EUV photosensitivity. However, the EUV sensitivity of the tinoxide films appears to be well-correlated with the strength of the carbon-tin bonds. We hypothesize this correlation indicates a mechanism of carbon-tin bond homolysis during exposure. Using these tin clusters, 18-nm lines were printed showcasing the high resolution capabilities of these materials as photoresists for EUV lithography.
Inhomogeneity of PAGs in resist film studied by molecular-dynamics simulations for EUV lithography
EUV resist materials are requested simultaneously to improve the resolution, line-edge roughness (LER), and sensitivity (RLS). In a resist film inhomogeneous structures in nanometer region may have large effects on directly the resolution and LER and indirectly on sensitivity. Inhomogeneity of PAGs in a hybrid resist for EUV lithography was investigated using molecular dynamics simulations. The hybrid resist film showed the inhomogeneous positions and motions of PAG cations and anions. Free volumes in resist matrix influence the motions of PAGs. Molecular structure such as bulky phenyl groups of a PAG cation localize the positions and reduce the motion of a cation. Chemical properties such as ionic interactions and lone-pair interaction also play an important role to determine the inhomogeneity of PAGs. Fluorine interaction enables active motions of PAG anions.
Poster Session: Advanced Patterning Processes
icon_mobile_dropdown
Innovative solutions on 193 immersion-based self-aligned multiple patterning
EUV lithography is one of the most promising techniques for sub-20nm half-pitch HVM devices, however it is well known that EUVL solutions still face significant challenges. Therefore we have focused on 193 immersion extension by using a self-aligned multiple patterning (SAMP), and this technique easily enables fine periodical patterning. Spacer patterning techniques have already been applied to sub-20nm hp advanced devices. In general, SAMP consists of SADP, SATP, SAQP, etc. We have already introduced about evolutional schemes and cost effective processes in past SPIE sessions.[1-12] SAQP enable further down-scaling to 10nm hp from SADP levels, however we must consider next advanced solution for sub-10nm hp resolution. In this paper, we will discuss about a possibility of 193 immersion extension using SAOP (self-aligned octuple patterning).
Wet particle source identification and reduction using a new filter cleaning process
Toru Umeda, Akihiko Morita, Hideki Shimizu, et al.
Wet particle reduction during filter installation and start-up aligns closely with initiatives to reduce both chemical consumption and preventative maintenance time. The present study focuses on the effects of filter materials cleanliness on wet particle defectivity through evaluation of filters that have been treated with a new enhanced cleaning process focused on organic compounds reduction. Little difference in filter performance is observed between the two filter types at a size detection threshold of 60 nm, while clear differences are observed at that of 26 nm. It can be suggested that organic compounds can be identified as a potential source of wet particles. Pall recommends filters that have been treated with the special cleaning process for applications with a critical defect size of less than 60 nm. Standard filter products are capable to satisfy wet particle defect performance criteria in less critical lithography applications.
Adsorption characteristics of lithography filters in various solvents using application-specific ratings
Toru Umeda, Shuichi Tsuzuki
It is known that DUV resist filtration using Nylon 6,6 membrane significantly reduces microbridge defects. Previous work has described a method to determine an adsorption performance index using modified metal nanoparticles, which simulate interactions with microbridge defect precursors. In this paper, the effects of filter grade, filter material, and solvent type on adsorptive retention are explored. The adsorption rate in Nylon 6,6 40 nm filter was observed to be greater in both lower-LogPow and lower-viscosity solvents, possibly providing a direction for improved filtration performance based on the solvent properties. The complementary adsorption kinetics parameters give a more accurate suggestion for the filter performance in lithography applications combined with the conventional sieving filter ratings.
Removal of highly crosslinked resists and hybrid polymers for single micro parts fabrication and nanoimprint stamp rework
Anja Voigt, Rainer Engelke, Gisela Ahrens, et al.
Thick photoresists, e.g. up to 1 mm layer thickness, are widely used for the manufacture of high aspect ratio microstructures, e.g. as mould for the fabrication of metallic micro parts. Such resists or materials exhibit high mechanical and chemical stability to non-deformably withstand a pattern transfer process, e.g. by electroplating. After the pattern transfer a solvent based removal is difficult or not possible in many cases. A selective mould removal – without the damage of electroplated metal structures – is required for the fabrication of single micro parts. As second application example UV curable and strongly crosslinkable inorganic-organic hybrid polymers such as OrmoComp ® and OrmoStamp ® are used in UV moulding. The cleaning and rework of these moulds or also of stamps for nanoimprint lithography (NIL) is a challenging task with increasing importance. The life time of an expensive master mould or stamp as well as of the replicated working stamps is important, and therefore the ability to rework such stamps without any defect or decreased resolution. Hence, we demonstrate the application of a plasma-assisted removal using the STP 2020 etching tool from MUEGGE [1] for remote dry etching of strongly crosslinked materials, i.e. the development of processes for the isotropical etching of highly crosslinked photoresists and hybrid polymer materials will be presented. In combination with this specific etching tool this technique shows a high potential to make plasma-assisted removal ready for industrial production.
Characterization of chemically amplified resists for electron beam lithography
Tomoharu Yamazaki, Hiroki Yamamoto, Takahiro Kozawa, et al.
For better understanding of electron beam resist processes, it is important to characterize the resist materials on the basis of their reaction mechanisms. In this study, the basic parameters which characterize the chemical reactions for latent image formation upon exposure to electron beam were evaluated. The electron beam resist used was a chemically amplified resist, the backbone polymer of which is poly(4-hydroxystyrene). 49% of the hydroxyl groups were protected with t-butoxycarbonyl groups. The stopping power was 0.529 eV nm-1. The G-value of acid generation was 2.5. The effective reaction radius for deprotection was approximately 0.02 nm. The diffusion constant of acids was 1.3 nm2 s-1. The diffusion constant of quenchers was significantly lower than that of acids. The product of LER and chemical gradient (dm/dx) was approximately 0.06.
Improved adhesion of novolac and epoxy based resists by cationic organic materials on critical substrates for high volume patterning applications
Anja Voigt, Gisela Ahrens, Marina Heinrich, et al.
Microlithography uses a variety of resists and polymer materials to create patterns and lithographic structures on several types of substrates. Excellent adhesion of the resists and polymers to the substrate is a prerequisite for successful patterning and pattern transfer. This paper presents the results of an investigation of the effects of an adhesion promoter, SurPass, on the lithographic process when used in combination with a variety of resists, and substrate materials. SurPass is a waterborne, non-hazardous, cationic organic surface active agent that promotes adhesion by modifying the substrate surface energy without deposition, chemical change or impact on electrical properties of the substrate material. The effectiveness of SurPass in combination with several novolac and epoxy resists on various substrate materials will be presented.
Poster Session: Materials and Process Fundamentals
icon_mobile_dropdown
Spin on lithographic resist trim process optimization and process window evaluation
Christos F. Karanikas, J. Christopher Taylor, Naveen Vaduri, et al.
The demands imposed by shrinking design rules for sub 20 nm technology on lithographic resolution are driving many avenues of research and development in an attempt to provide a robust and affordable solution for high volume manufacturing. Currently, pitch splitting techniques, such as self-aligned double and quadruple patterning (SADP or SAQP) and litho-etch litho-etch …(LELE…), are being used to bridge the gap to next generation ;lithographic techniques. Cost of ownership (CoO), process window improvements and defectivity are opportunities and concerns for extensions of these approaches, such as resist sliming on sidewall-image transfer (SIT) processes like SADP or SAQP. A spin-on resist slimming approach is implemented with line and space resist to explore process window improvements. The effects of typical process conditions and incoming variability are studied using a custom design of experiments. The optimized process is then used to evaluate process window gain compared to the process of record.
Modeling acid transport in chemically amplified resist films
Abhijit A. Patil, Manolis Doxastakis, Gila E. Stein
The acid-catalyzed deprotection of glassy poly(4-hydroxystyrene-co-tert butyl acrylate) films was studied with infrared absorbance spectroscopy and stochastic simulations. Experimental data were interpreted with a simple description of subdiffusive acid transport coupled to second-order acid loss. This model predicts key attributes of observed deprotection rates, such as fast reaction at short times, slow reaction at long times, and a non-linear dependence on acid loading. The degree of anomalous character is reduced by increasing the post-exposure bake temperature or adding plasticizing agents to the polymer resin. These findings indicate that the acid mobility and overall deprotection kinetics are coupled to glassy matrix dynamics. Furthermore, the acid diffusion lengths were calculated from the anomalous transport model and compared with nanopattern line widths. The consistent scaling between experiments and simulations suggests that the anomalous diffusion model could be further developed into a predictive lithography tool.
Deprotonation mechanism of ionized poly(4-hydroxystyrene)
Toshihiko Susa, Kazumasa Okamoto, Takuya Ishida, et al.
Poly(4-hydroxystyrene) (PHS), a backbone polymer in resist constituents is also a promising material for extreme ultraviolet (EUV) and electron beam (EB) lithography. Efficient deprotonation occurs from radical cations of irradiated PHS. A hydroxystyrene unit is incorporated in the chemically amplified resist structure as a proton source, in which reaction mechanism has not been well established. In this study, deprotonation mechanism of an ionized PHS was characterized by using pulse radiolysis techniques. The influence of several additives such as sulfoxides and amides with high acidity on the kinetics of the deprotonation was investigated to clarify the fundamentals of the enhancement of deprotonation efficiency from the PHS radical cation. Influence of the additives on the acid yield in thin film was also investigated.
Numerical analysis for resist profile after thermal process in display manufacturing
Vitaliy Domnenko, Hans-Jürgen Stock, Sangmin Shin, et al.
The screen size growth of mobile displays is accompanied with the drastically increased resolution. A display should have high pixel resolution to meet demanding readability and legibility expectations. The manufacturing process should be advanced to meet final device requirements. One of the important process steps is the post-development hardbake, where resist reflow is used to tune the final profile which influences subsequent process steps. Moreover, 3D resist profiles become one of critical design factors for mechanical and optical properties of display pixels. The resist reflow is the main time- and temperature-dependent effect of post-development bake process step. Since the resist is in transitional state (crystalline glassy/amorphous rubbery/viscous melt) the resist profile dynamics are very complex and predictive modeling is necessary. The model presented in this paper is based on a lattice-Boltzmann method, where the resist is considered as multicomponent (polymer-solvent) and multiphase (solid-liquid-vapor) mixture. Simulated resist profile dynamics with time are analyzed in dependency of material parameters (solvent diffusivity and evaporation rate, polymer solid fraction and adhesion with substrate). Temperature-dependent parameter descriptions are used for model calibration. Validation against experimental data shows good model consistency and predictability, demonstrating the benefit of simulation in process development and optimization.
Photoresist analysis to investigate LWR generation mechanism
Kenji Mochida, Shinichi Nakamura, Tooru Kimura, et al.
In order to understand the mechanism of line width roughness (LWR) generation and to find control knobs for improving resist patterning properties, we developed precise direct analysis method of resist patterns. This method comprise three important processes: 1. Selective sampling of resist pattern surface and pattern core, 2. Analysis and preparative isolation of collected resist ingredient by μGPC, 3. Structural analysis by Py-GC/MS. μGPC and Py-GC/MS analysis provid resist ingredient distribution information inside resist pattern, which includes original polymer, reacted polymer, and photo acid generator (PAG) through the ArF patterning process. This novel analytical method can provide remarkably helpful information about identifying proper control knobs for lithographic performance of ArF resist and for next generation lithography (NGL), especially extreme ultra violet lithography (EUVL) materials, where exposure tool time is very limited.
Decreasing curing temperature of spin-on dielectrics by using additives
Jin Hee Bae, Kwen Woo Han, Eun Su Park, et al.
Spin-on dielectric (SOD) is widely used in semiconductor industry, to form insulating layers including shallow trench isolation (STI) or inter-layer dielectrics (ILD). SOD has several advantages over high density plasma chemical vapor deposition (HDP-CVD) for manufacturing process, such as less defect and higher throughput. However, both SOD and HDP-CVD have a drawback, which is a high temperature curing process required to make pure silicon oxide layers. High temperature curing could cause high stress and thermal distortion. These disadvantages are becoming more problematic as the semiconductor device shrinks. To resolve the problem, we tested several additives to moderate the curing temperature. It was found out that amine compounds were effective to convert SOD polymer into silicon oxide, therefore the curing process could be performed at a lower temperature. We also observed that the SOD films containing amine additives have higher etch resistance during a wet etch process. These results, as well as the lower curing temperature, are beneficial for manufacturing insulating layers. Further investigation is ongoing to characterize other film properties of the SOD with additives, and to optimize the formulation conditions according to the requirements of manufacturing processes.
Study of acid diffusion behaves form PAG by using top coat method
Atsushi Sekiguchi, Yoko Matsumoto
Our past research on measurements of simulation parameters for ArF resists focused on establishing methods for measuring the following parameters:[1]-[4] • Development parameters[1] • PEB parameters[2] • Dill’s ABC parameters[3] • Quencher parameter[4] We entered these parameters into a lithography simulator and performed ArF resist simulations.We then explored ways to optimize the ArF resist material and process. This paper reports on our study of methods for measuring the diffusion length of acid generated from PAG during exposures. In our experiment, we applied a PAG-containing top coat (TC) material (second layer) to a PAG-free ArF resist (first layer), then performed the exposure and PEB processes. The acid generated in the TC during the exposure diffused into the ArF resist in the lower layer (first layer) when PEB was performed. The process of developing this sample removed the TC in the second layer and the parts of the first layer into which the acid had diffused.We obtained the acid diffusion length based on the quantity of film removed by the development. We calculated the acid diffusion coefficient after varying the exposure value and repeating the measurement. For this report, we also performed measurements to determine how differences in PAG anion size, amount of quencher additive, and PEB temperature affected the acid diffusion coefficient.We entered the measurements obtained into the PROLITH simulator and explored the effects of acid diffusion on pattern profile.
Fluidity dependence of deprotonation kinetics of chemically amplified resist
Kazumasa Okamoto, Takuya Ishida, Hiroki Yamamoto, et al.
Chemically amplified resists have been widely used in the mass production line. An acid generation mechanism induced by ionizing radiation with extreme ultraviolet (EUV) and electron beam is an important issue for improvement of the resist performance such as sensitivity, roughness, and resolution below 16 nm. However, the details of deprotonation kinetics from the ionized resist solid film immediately after the ionization have been still unclear. In this study, pulse radiolysis of highly concentrated poly(4-hydroxystylene) (PHS) solutions was performed. The viscosity dependence on the deprotonation dynamics of the ionized concentrated solutions was investigated to clarify the proton generation of ionized PHS in a medium with low mobility. The deprotonation from the PHS radical cation becomes slower with increasing PHS concentration. It is suggested that the deprotonation reaction is slower in a less mobile medium because of decrease of the molecular motions.
Poster Session: New Materials and Processes
icon_mobile_dropdown
Inorganic resist materials based on zirconium phosphonate for atomic force microscope lithography
Mankyu Kang, Seonae Kim, JinHyuck Jung, et al.
New inorganic resist materials based on metal complexes were investigated for atomic force microscope (AFM) lithography. Phosphoric acids are good for self-assembly because of their strong binding energy. In this work, zirconium phosphonate system are newly synthesized for spin-coatable materials in aqueous solutions and leads to negative tone pattern for improving line edge roughness. Low electron exposure by AFM lithography could generate a pattern by electrochemical reaction and cross-linking of metal-oxo complexes. It has been reported that the minimum pattern results are affected by lithographic speed, and the applied voltage between a tip and a substrate.
Organic-inorganic hybrid resists for EUVL
Vikram Singh, Vishwanath Kalyani, V. S. V. Satyanarayana, et al.
Herein, we describe preliminary results on organic-inorganic hybrid photoresists, capable of showing line patterns up to 16 nm under e-beam exposure studies, prepared by incorporating polyoxometalates (POMs) clusters into organic photoresist materials. Various Mo and W based clusters such as (TBA)2[Mo6O19], (TBA)5(H)[P2V3W15O62] and (TBA)4[P2Mo18O61] (where TBA = tetrabutyl ammonium counter ion) have been incorporated into PMMA matrix by mixing POM solutions and standard PMMA polymer in anisole (MW ~ 95000, MicroChem) in 1:33 w/v ratio. E-beam exposure followed by development with MIBK solutions showed that these new organic-inorganic hybrid photoresists show good line patterns upto 16 nm, which were not observed in the case of control experiments done on pure PMMA polymer resist. The observed enhancement of resist properties in the case of hybrid resists could possibly be due to a combination of features imparted to the resist by the POM clusters such as increased sensitivity, etch resistance and thermal stability.
Development of spin-on-carbon hard mask for advanced node
Takanori Kudo, M. Dalil Rahman, Douglas McKenzie, et al.
Spin-on-carbon (SOC) hard mask is useful for multilayer lithography process because of its high etch resistance, low cost of ownership, low defectivity, high alignment accuracy, good gap filling and planarization for topography. SOC is a high carbon containing polymer solution and as a coating material, the polymers need to be soluble in organic solvent and insoluble after curing for coating upper layer materials. High carbon content (>80%) of SOC is very important for good etch resistance. As the semiconductor industry is moving to 2X nm node and beyond, further improvement of SOC properties mentioned above is required to achieve higher resolution. We synthesized a series of novel monomers and high carbon polymers applicable for SOC applications of advanced nodes. The optimized SOC was a PGMEA based formulation, had high carbon content 90%, excellent filling/leveling properties, and adequate etching properties applicable to trilayer process. The SOC successfully transferred patterns from resist into substrate and the SOC patterns did not show deformation or wiggling down to CD 40nm. This paper describes some of the SOC polymer chemistry and the performance of an optimized SOC formulation.
Effective resist profile control
Chen-Yu Liu, Chien-Wei Wang, Chun-Ching Huang, et al.
To meet Moore’s law, resist resolution improvement has become more and more important. However, it is difficult to improve resist resolution and keep vertical sidewall profile. For example, a high contrast hole resist may cause trench scum, due to very T-top profile. This paper reports several concepts for resist profile tuning without losing performance for lithographic factor , including mask error enhancement factor (MEEF), depth of focus (DOF), and critical dimension uniformity (CDU). To quantitative analysis the resist profile improvement, we define a new factor, Scum fail ratio (F/R%) for new techniques evaluation. The new techniques, including floatable additive, floatable PAG, and new monomer, are discussed. From X-SEM and CD-SEM data, former three concepts could improve resist sidewall profile quantitatively evaluated by Scum fail F/R% and keep lithographic factors. In addition, another key factor, resist residue defect, is also discussed. The high contrast resist with higher receding contact angle (RCA) easily generates more residue defect after development. With the new monomer composition, RCA of Resist E is decreased from 54 to 48 degree after development. Therefore, the residue defect is improved one order.
Study on resist performance of chemically amplified molecular resist based on noria derivative and calixarene derivative
Novel resist materials are required for lithographic processing with ionization radiation such as extreme ultraviolet (EUV) and electron beam (EB) exposure tool. In this study, we developed positive-tone chemically amplified molecular resists based on noria derivatives and calixarene derivatives and evaluated the lithographic performance using EUV and EB. We make clear that a small change in modification of noria resists can cause a significant change of sensitivity. Especially, it is useful for the improvement of resist sensitivities to use protecting groups such as 2-acetyloxy-2-methyladamantyl ester (AD) groups and ethoxy groups. Also, novel calixarene derivative such as pillar[5]arene protected by AD showed a semi-isolated pattern with the line width of 40 nm (pitch: 100nm). Noria derivatives and calixarene derivative resists were promising candidates because of high sensitivity, high resolution and etch durability similar to conventional resist such as ZEP 520A and UVIII.
SRAF window improvement with under-coating layer
Takahiro Hiromatsu, Toru Fukui, Kenta Tsukagoshi, et al.
A novel adhesion promoting material has been developed to prevent very small resist patterns from collapse. One target for the development of the material is to make an advanced negative-tone mask with 40 nm sub-resolution assist features (SRAF). The SRAF on photomasks has become shorter and shorter as well as narrowing. The 2-dimensional resist patterns easily collapse during the resist developing process. Resist under-coating material controlled the surface condition on a chrome absorber film, and it improved the resolution of the SRAF. As a result, SRAFs of 46nm width and 200nm length were achieved using the material. A negative-tone resist on the under-coating layer demonstrated 35 nm isolated line patterns on a mask without pattern collapse.
Development of new xanthendiol derivatives applied to the negative-tone molecular resists for EB/EUVL
Takashi Sato, Masako Yamakawa, Yumi Ochiai, et al.
In our previous paper, we reported the development of new xanthendiol derivatives applied to the negative-tone molecular resists for EB/EUVL. We also reported the EB patterning result showed the resist containing the new xanthendiol, 13-biphenyl-13H-benzoxanthen-3,10-diol, could resolve the 30 nm half-pitch pattern. In this paper, we report the resist containing the new xanthendiol could resolve the 20 nm half-pitch pattern without pattern collapse by optimizing formulation and conditions. Furthermore 15 nm half-pitch patterns were partially resolved. And the EUVL patterning result also shows 20 nm half-pitch pattern.
Chemical shrink materials and process for negative tone development (NTD) resist
Negative tone shrink materials (NSM) suitable for resolution enhancement of negative tone development (NTD) 193nm immersion resists have been developed. While this technology is being applied to integrated circuits (IC) manufacturing, reduction of shrink differences between isolated and dense (ID) CDs also called as shrink ID bias is the challenge to meet wide-spread applications. In this paper, we present the effects of resist thermal flow, proximity effects of DUV exposure, flood exposure of after developed image (ADI) on the NSM shrink. High mixing bake (MB) temperature (example 170°C) during the shrink process resulted in increased resist thermal flow leading to worse shrink ID bias of 3.5 nm. As different pitch pattern has different proximity effect and matching with illumination condition, uneven dose is expected on them. These differences in dose required to obtain same through pitch (1:X, X-1, 1.5, 2, 3, 5) CD was assigned as the cause for shrink ID bias as the de-protection chemistry is related to dose which affects the shrink amount. This was further confirmed by flood exposure of after developed image (ADI) which reduced shrink ID bias from 3.5 nm to 1.8 nm. We concluded that the flood exposure makes the ADIs of the resist chemically uniform thereby minimizing shrink ID bias. Based on these studies, a mechanism for shrink ID bias is proposed. A modified NSM with 1.2 nm shrink ID bias has been developed without the need for the flood exposure.
Novel polymeric sulfonium photoacid generator and its application for chemically amplified photoresists
Juan Liu, Yu Qiao, Liyuan Wang
Chemically amplified resists (CARs) which involved the photoacid generator (PAG) have been widely used because of the high sensitivity. The inherent incompatibility between the polymer matrix and small molecular PAGs leads to problems including PAG phase separation, non-uniform initial PAG and photoacid distribution, as well as acid migration during the post-exposure baking (PEB) processes. The polymeric PAGs based resist systems which incorporated the PAG units into the main chain showed improved lithographic performance, such as faster photospeed and higher stability, lower outgassing, and lower LER than corresponding blend resists. In this paper, a novel type of polymeric PAGs based on poly (4-hydroxylstyrene) (PHS) was discussed. Chemically amplified photorssists were formed by the polymeric PAG and other film forming material containing acid labile groups. The polymeric PAGs showed advantage over the common small molecular PAG and patterns with 180 nm resolution was obtained in the 248-nm lithography.
Evaluation of novel hydrophilic derivatives for chemically amplified EUV resists
Hiroyuki Tanagi, Hiroyasu Tanaka, Shoichi Hayakawa, et al.
EUV lithography is the most favorable process for high volume manufacturing of semiconductor devices beyond 1X nm half-pitch. Many efforts have revealed effective proton sources in acid generation in EUV resists, and the effective proton generation and the control of the generated acid diffusion are required to improve the breakthrough of the resolution - line width roughness - sensitivity(RLS) trade-off. To clarify the lithographic performance of these derivatives, we synthesized the acrylic terpolymers containing novel hydrophilic derivatives as model photopolymers and exposed the resist samples based on these polymers to EUV and EB radiation. On the basis of the lithographic performances of these resist samples, we evaluated the characteristics of hydrophilic derivatives upon exposure to EUV radiation. We discuss the relationship between the chemical structures of these derivatives and lithographic performance.
ArF photoresist polymers with nitrogen or sulfone moieties for negative tone development process
Dong-Gyun Kim, Su-Jee Kwon, Suk-Koo Hong, et al.
A series of nitrogen- and sulfone-containing polymers with different molecular weights and monomer compositions were prepared via free radical polymerization to investigate the effect of polymer structure on the ArF lithographic performance in negative tone development (NTD) process. Conventional ArF photoresist polymers (Ref) were also prepared for comparison purposes. It was found that there are optimum molecular weights of the photoresist polymers to give good lithographic performance in NTD process. Photoresists with amine-containing polymers showed contact hole (CH) patterns with some defects, which could be due to the large amount of acid-quenchable amine moieties in the polymers. Lithographic performance of photoresists with sulfonate-containing polymers was close to that with Ref polymers and much better than that with sulfonamide-containing polymers.
Development of novel protecting derivatives for chemically amplified extreme ultraviolet resist
Hiroyasu Tanaka, Hiroyuki Tanagi, Shoichi Hayakawa, et al.
EUV lithography is the most favorable process for high volume manufacturing of semiconductor devices below 1X nm half-pitch. Many efforts have revealed that the effective proton generation and the control of the generated acid diffusion are required to improve the breakthrough of the RLS trade-off. For the development of EUV resists, the novel protecting derivatives were designed. To clarify the lithographic performance of these derivatives, we synthesized the acrylic polymers containing these derivatives as model photopolymers and exposed the resist samples based on these polymers to EUV/EB radiation. On the basis of the lithographic performances of these resist sample, we evaluated the characteristics of novel protecting derivatives upon exposure to EUV/EB radiation. We discuss the relationship between the chemical structures of these derivatives and lithographic performance.
EUV resists comprised of main group organometallic oligomeric materials
James Passarelli, Brian Cardineau, Ryan Del Re, et al.
We present the synthesis and preliminary lithographic evaluation of Molecular Organometallic Resists for EUV (MORE) that contain post transition metals. These post transition metal nuclei have high EUV optical density so they can utilize a high fraction of the incident photons. We will describe two technical approaches for EUV resist platforms that contain bismuth. Approach 1: Combination of organometallic compounds with photoacid generators. Approach 2: Combination of high-oxidation state metal-center oligomers that utilize carboxylate anions bound to the metal centers.
Poster Session: Underlayers and Spin-On Materials Processing
icon_mobile_dropdown
Novel spin-on metal hardmask materials for filling applications
Alberto D. Dioses, Venkata Chada, Elizabeth Wolfer, et al.
Hardmasks are indispensable materials during pattern transfer to the desired substrates in the semiconductor manufacturing process. Primarily there are two types of hardmask materials - organic and inorganic - and they can be coated onto substrates or underlying materials either by a simple spin-on process or by more expensive methods such as chemical vapor deposition (CVD), atomic layer deposition (ALD) and sputtering process. Most inorganic hardmasks such as SiO2, SiON, SiN and TiN are deposited using the CVD process. Future nodes require hardmasks with high etch resistance as the designs move from horizontal to vertical (3D). We have reported novel spin-on metallic hardmasks (MHM) with comparable or higher etch resistance than SiO2.1-2 In addition to high etch resistance, they are easy to remove using wet etch chemicals. The spin-on process offers high throughput and commonly used spin tracks can be utilized; thereby reducing overall process costs when compared with CVD. Via-fill performance is also an important attribute of hardmask materials for these future nodes. Organic spin-on materials, both siloxane- and carbon-based, are used in filling applications of deep via or deep trench fill, such as those found in LELE double-patterning schemes. Inorganic materials deposited by either chemical vapor deposition (CVD) or atomic layer deposition (ALD) have higher resistance to oxygenated plasma than organic materials, but are hindered by their poor filling performance. Therefore, novel tungsten (W) containing MHM materials having both good filling performance and higher resistance to oxygenated plasma than organic materials would be of value in some filling applications. The present paper describes specific metal oxides useful for filling applications. In addition to basic filling performance and etch resistance, other properties such as optical properties, outgas and shelf life via forced aging etc. will be discussed.