Proceedings Volume 8682

Advances in Resist Materials and Processing Technology XXX

cover
Proceedings Volume 8682

Advances in Resist Materials and Processing Technology XXX

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 18 April 2013
Contents: 17 Sessions, 69 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2013
Volume Number: 8682

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 8682
  • Keynote Session
  • Novel Processing of Patterning Materials
  • EUV Resists: Joint Session with Conferences 8679 and 8682
  • Optical Extensions
  • Accelerated Development of Materials and Processes: Joint Session with Conference 8681 and 8682
  • DSA Materials and Processing: Joint Session with Conference 8680 and 8682
  • Negative Tone Patterning
  • Novel Patterning Materials
  • E-Beam Patterning Materials
  • EUV Materials, Processing, and Analysis
  • Fundamental Studies of RLS Behavior
  • Poster Session: Spacer Development
  • Poster Session: Fundamentals
  • Poster Session: Novel Materials
  • Poster Session: Novel Processing
  • Poster Session: Manufacturing Considerations
Front Matter: Volume 8682
icon_mobile_dropdown
Front Matter: Volume 8682
This PDF file contains the front matter associated with SPIE Proceedings Volume 8862, including the Title Page, Copyright information, Table of Contents, Introduction (if any), and Conference Committee listing.
Keynote Session
icon_mobile_dropdown
The evolving complexity of patterning materials
Tsutomu Shimokawa, Yoshi Hishiro, Yoshikazu Yamaguchi, et al.
People have enjoyed innovations which are made possible with the device scaling. The industry has been challenging to realize the Moore’s Law. Resolution limit of ArF immersion scanner has already been larger than the device CD necessary now. Device structures have been getting more and more complicated to meet various technology requirements such as scaling, device speed, low power consumption and so on. Not only the scaling but also complication has to be overcome to realize those requirements. Scaling requirements, device structure, and new types of architectures for new generation device with the limited single exposure capability force us to keep using and exploring complicated multi-step patterning techniques or “tricks”. Device design, elements, process, and consumable tricks and JSR’s solution have been reviewed.
Optimization of a virtual EUV photoresist for the trade-off between throughput and CDU
EUV source power and resist photospeed will dictate the throughput of EUV lithography, and throughput is a key factor in the cost of ownership of EUVL as a technology. However, low exposure doses typically lead to poor CD uniformity (CDU) and line-width roughness (LWR). In this paper, we simulate the CDU versus dose-to-size trade-off for a large number of virtual photoresists using PROLITH for 28nm, 26nm, and 22nm HP contacts. The resulting CDU versus dose curve is very similar to the experimental investigations by Naulleau et al. (Proc. SPIE, v7972, 2011) and by Goethals et al. (EUVL Symposium 2012). With the simulated results, we can investigate trends with physical properties such as diffusivity of acid and quencher, and overall exposure yield, as well as formulation properties such as PAG and quencher loadings, and conventional versus photodecomposable quencher.
Novel Processing of Patterning Materials
icon_mobile_dropdown
Sustainable scaling technique on double-patterning process
The double patterning process has become a technology for extending the life of 193-nm immersion lithography. It is the most useful techniques of advancing downscaling in semiconductors and can theoretically be used scale infinitely down. For the self-aligned type of double patterning, such as self-aligned double patterning (SADP), self-aligned triple patterning (SATP), and self-aligned quadruple patterning (SAQP)[1], we have reported that spacer-pattern processing is more difficult than line-pattern processing since the former includes more fluctuating factors, and that improving the performance of the core pattern is essential to solving this problem. Similarly, as calls for even more improvement in line edge roughness (LER) have come to be made, we have investigated the relationship between the core pattern and LER. Thus, given the importance of finding a means of securing pattern fidelity in the core pattern to improve critical dimension uniformity (CDU) and LER, we improved resist contrast resulting in dramatically reduced LER and improved spacer CD uniformity over the wafer surface. This paper presents the results of observing pattern fidelity in the double patterning process from many aspects and the results of testing a technique for high-accuracy management of pattern fidelity.
Dry development rinse process (DDRP) and material (DDRM) for novel pattern collapse free process
Rikimaru Sakamoto, Yasushi Sakaida, Bang-Ching Ho
Because the pattern pitch is getting smaller and smaller, the pattern collapse issue in the lithography process have been getting the sever problem. Especially, pattern collapse is one of the main reasons for minimizing of process margin at fine pitch by EUV lithography. The possible major cause of pattern collapse is the surface tension of the rinsing liquid and the shrinkage of resist pattern’s surface in the process of drying the rinsing liquid. The influence of surface tension for very small pitch pattern is particularly severe. The one of the most effective solution for this problem is thinning of the resist film thickness, however this method is reaching to its limits in terms of substrate etching process anymore. The tri-layer resist process or hard mask processes have been used, but there is a limit to the thinning of resist film and there is no essential solution for this problem. On the other hand, the supercritical drying method has been known as an ultimate way to suppress the pattern collapse issue. The supercritical drying method is a dry process advanced to the vapor phase from the liquid phase via supercritical, and the supercritical drying method can dry the rinsing liquid without making the vapor-liquid coexistence state. However, this process is not applied to the mass production process because it requires the introduction of the special equipment. We newly developed the novel process and material which can prevent the pattern collapse issue perfectly without using any special equipment. The process is Dry Development Rinse Process (DDRP), and the material used in the process is Dry Development Rinse Material (DDRM). DDRM is containing the special polymer which can replace the exposed and developed part. And finally, the resist pattern will be developed by Dry etching process without any pattern collapse issue. In this paper, We will discuss the approach for preventing the pattern collapse issue in PTD and NTD process, and propose DDRP and DDRM as the solution.
Post-litho line edge/width roughness smoothing by ion implantations
Tristan Y. Ma, Peng Xie, Ludovic Godet, et al.
Solving the issue of line edge/width roughness (LER/LWR) in chip manufacturing is becoming increasingly urgent as the feature size continues to decrease. Several post-lithography processing techniques have been investigated by the semiconductor industry, but they were often proved to be inadequate in one area or another. In this study, a near isotropic ion implantation process, called Plasma Ribbon Beam Technology, was tuned for photoresist treatment and used to reduce LER/LWR by >30% while minimizing loss in the critical dimension (CD). Different implantation chemistries were evaluated and process parameters including energy, angle, beam current, and dose, were optimized. The LER/LWR measurement was performed on an SEM system designed for CD metrology. SEM images with resist lines of 3μm long were taken to capture more low frequency data. The results showed that, with Ar implantation on 193/193i photoresists, a 27-37% before-etch reduction in LER/LWR was achieved on 65nm and 45nm half-pitch lines whereas the CD change was controlled under ±1%. Preliminary test results on EUV photoresists have demonstrated similar trend. Compared to untreated photoresist, the LER/LWR power spectral density (PSD) data showed more than a half decade improvement in both the mid-frequency and low-frequency range. The significant low-frequency improvement affords this technique a unique advantage over other competing approaches. Pattern transfer of the LER/LWR improvements has been successfully demonstrated on 193/193i resists using both inorganic and organic ARC (anti-reflective coating).
Precuring implant photoresists for shrink and patterning control
Gustaf Winroth, Erik Rosseel, Christie Delvaux, et al.
Polymeric photoresists are readily being used as the stopping layer for ions during implantation processes in manufacturing of integrated circuitry. In order to be compatible for standard optical lithography with deep ultraviolet exposures, the state-of-the-art resists are chemically amplified; as they are for photoresists for etch patterning. Partially deprotected, including patterned, photoresists contain a range of small molecular weight species that are prone to escape the resist if the resist was to be irradiated by additional UV-light, electron beams or ion bombardment. For implant processes in device integration this is becoming progressively the most topical issue for aggressive nodes, where 193 nm compatible resists are progressively turning out to be the new platform for implant lithography. These will shrink significantly during the ion implantation and subsequently produce undesired doping gradients on a length scale comparable to the target feature width. In addition, conventional UV-flood exposure that is common for 248 nm resist platforms is not directly transferrable to 193 nm resists. In this paper, we explore the precuring options available for state-of-the-art implant photoresists for 193 nm lithography, in which we target to reduce the shrinkage during implantation for trench critical dimensions that are relevant for nodes below 20 nm. We present an extensive study comprising of different approaches, including laser-, ion- and electronbased treatments. Each treatment is individually investigated with the aim not only to find a valid pretreatment for shrinkage control during implantation, but also to fundamentally understand what effect alternative pretreatments have on the profile and dimensions of thick photoresists used as implant stopping layers. We find that there are viable options for further process optimization in order to integrate them into device process flows. To this extent, we show the shrink behavior after pretreatment and compare the additional shrink dynamics after implantation.
Application specific ratings for lithography process filters
Toru Umeda, Shuichi Tsuzuki
The typical performance index of microelectronics-grade filter products is based upon mechanical sieving. However, adsorption also plays a critical role for reducing certain defects. To provide a more accurate metric, a complementary adsorption performance index is introduced for lithography process filters. In this study, heptylamine-substituted palladium nanoparticles were used to simulate the adsorptive characteristics of microbridge defect precursors. Adsorption kinetic parameters were calculated for Nylon 6,6 and HDPE filters that were challenged with the simulation particles. Nylon 6,6 media quantitatively demonstrated superior adsorptive retention characteristics. The new index is expected to guide both filter product development and filter recommendation for next generation lithography processes.
EUV Resists: Joint Session with Conferences 8679 and 8682
icon_mobile_dropdown
The novel solution for negative impact of out-of-band and outgassing by top coat materials in EUVL
Noriaki Fujitani, Rikimaru Sakamoto, Takafumi Endo, et al.
EUV lithography (EUVL) is the most promising candidate of next generation technology for hp20nm node device manufacturing and beyond. However, the power of light source, masks and photo resists are the most critical issues for driving the EUVL. Especially, concerning about deterioration of the patterning performance by Out-of-Band (OoB) light existing in the EUV light, and contamination problem of exposure tool due to the resist outgassing are the key issues which have to be resolved in the material view point toward the high volume manufacturing by EUVL. This paper proposes the solution for these critical issues by applying the top coat material. The key characteristics for top coat material are the protection of the OoB effect, the prevention of the outgassing from resist as a barrier layer and enhancement of photo resist performance, like resist profile and process window. This paper describes the material design and performance. The optical property needs having the high absorbance of DUV light in OoB range and high transmittance for 13.5nm wavelength. Outgassing barrier property needs high broking property against non contamination chemical species from photo resist outgassing. The study of TOF-SIMS analysis indicates how much the polymer chemistry can impact for outgassing barrier property. The dependency of material design and lithography performance is also discussed.
Rectification of EUV-patterned contact holes using directed self-assembly
One critical problem with EUV patterning is the local CD variation of contact holes. The issue is especially problematic for patterning of sub-30nm hole dimensions. Although the EUV wavelength enables resolution of fine contact patterns, shot noise effects (both chemical and optical) result in high levels of CD non-uniformity. Directed self-assembly (DSA) offers the possibility of rectifying this non-uniformity. Since the resulting CD in this patterning approach is typically dictated by the polymer size, application of this technology in conjunction with an EUV-defined pre-pattern can theoretically improve the local CD uniformity. Integration approaches using both chemo- and grapho-epitaxy integration may be used to achieve DSA enabled uniformity improvement. The drawbacks and benefits of both approaches will be discussed. Finally, these types of DSA flows also enable frequency multiplication to achieve dense arrays from an initially sparse pattern. In this study, we will report on a variety of schemes to attain rectification and frequency multiplication.
Novel EUV resist materials and process for 20nm half pitch and beyond
Ken Maruyama, Ramakrishnan Ayothi, Yoshi Hishiro, et al.
New resist, under layer, and topcoat materials specific to EUVL was developed and investigated for sub 20 nm hp patterning performance. High Tg resin and high absorption resin were developed and incorporated in to EUV resist. EUV resist including high Tg resin showed good LWR and local CD uniformity (LCDU). EUV resist containing high absorption resin showed higher resist sensitivity. New silicon type under-layer materials with different hydrophobicity were developed for further patterning performance improvement. Silicon type under-layer material with higher hydrophobic surface property improved line collapse margin which in turn improved resist resolution. EUV top-coat material was developed and examined for EUV resist sensitivity to out of band (OOB) radiation. EUV top-coat suppressed OOB influence and improved lithographic performance. EUV resist containing new materials resolved 15 nm half pitch lines and spaces and 20 nm contact hole patterns.
Optical Extensions
icon_mobile_dropdown
Process variability of self-aligned multiple patterning
EUV lithography is one of the most promising techniques for sub 20nm half pitch HVM devices, however it is well known that EUV lithography solutions still face significant challenges. Therefore we have focused on 193 based self-aligned multiple patterning, because SAMP(SADP to SAQP) easily enables fine periodical patterning. As you know, these spacer based techniques have already been applied to NAND,DRAM,Logic mass productions. We have already introduced innovative resist core based SADP/SAQP techniques and have demonstrated results in past SPIE sessions.[1][2][3][4] Although SAMP technique can be easily extend to the gridded pattern for 1D layout, the resolution limit of gridded design rule will strongly depend on hole pitch shrink technique for the cut-pattern. In this paper, we will introduce GDR demonstration result of the 10nm logic node, and discuss about the process variability relevant to them.
20nm VIA BEOL patterning challenges
Chien-Hsien S. Lee, Sohan Singh Mehta, Wontae Hwang, et al.
Higher density on 20nm logic chips require tighter pitches to be implemented not only at critical metal layers, but at BEOL critical VIA layers as well. Smaller pitches on critical via are no longer achievable through the conventional positive tone development (PTD) process. Instead, negative tone development (NTD) is considered, evaluated, and integrated as an alternative, along with the double patterning (DP) method. Additionally, preliminary results on NTD+DP patterning challenges, including patterning verification, are presented in this paper.
Feasibility study of resist slimming for SIT
Wet chemical slimming of resist can enable a resist mandrel for sidewall-image transfer (SIT) by decreasing the mandrel width and smoothing the mandrel sidewalls. This would reduce the cost of the SIT process. Several key metrics are used to compare the traditional etched mandrel and the slimmed resist mandrel, including: process window, critical dimension uniformity, and defectivity. New resists are shown to have larger process windows after slimming than an etched mandrel process while maintaining comparable critical dimension uniformity. The major challenge to the resist mandrel is the profile post-slim.
Combining physical resist modeling and self-consistent field theory for pattern simulation in directed self-assembly
In this presentation, we describe multi-scale modeling method combining PROLITH lithography simulation with Self-Consistent Field Theory (SCFT) computation of the block copolymer Directed Self-Assembly (DSA). Within this method, we utilize PROLITH to predict the shape of a lithographic feature as function of process conditions. The results of that calculation are then used as input into SCFT simulation to predict the distribution of the matrix and etchable blocks of the DSA polymers (such as PS-b-PDMS or PS-b- PMMA) inside that feature. This method is applied to simple cases (e.g., rectangular trench and cylindrical contact hole), and the self-assembly of various polymers is investigated as a function of their compositions. The new tool could therefore be applied to rapidly design and screen lithographic process conditions together with polymers used to shrink or rectify the features within the DSA technology.
Bottom-up/top-down high resolution, high throughput lithography using vertically assembled block bottle brush polymers
Peter Trefonas, James W. Thackeray, Guorong Sun, et al.
We describe a novel deterministic bottom-up / top-down approach to sub-30 nm photolithography using a film composed of assembled block brush polymers of highly uniform composition and chain length. The polymer architecture consists of a rigid backbone of polymerized norbornene, each linked to flexible short side brush chains. The resultant ‘bottle brush’ topology has a cylindrical shape with short brush chains arranged concentrically around the backbone, in which the cylinder radius is determined by the number of monomers within the brush fragment, while the cylinder length is determined by the degree of backbone polymerization. The modularity of the synthetic system allows a wide diversity of lithographically useful monomers, sequencing, dimension and property variation. Sequential grafting of pre-synthesized blocks allows for facile formation of either concentric or lengthwise block copolymers. Placement of brush chains of different compositions along different regions of the cylinder, along with variation of the relative concentric and lengthwise dimensions, provides mechanisms to align and control placement of the cylinders. These polymers are compatible with photoacid generators (PAGs) and crosslinker functionality. Our results are consistent with a model that the bottle brush polymers assemble (bottom-up) in the film to yield a ‘forest’ of vertically arranged cylindrical block brush polymers, with the film thickness determined by the coherence lengths of the cylinders. Subsequent imaging via electron beam (EB or ebeam) or optical radiation yields a (top-down) mechanism for acid catalyzed crosslinking of adjacent cylinders. Uncrosslinked cylinders are removed in developer to yield negative photoresist patterns. Exposure doses are very low and throughputs are amenable to the requirements of Extreme Ultraviolet (EUV) lithography. The limiting resolution with ebeam exposure is potentially about two cylinder diameters width (< 8 nm), with the smallest observed patterns approaching 10 nm.
Accelerated Development of Materials and Processes: Joint Session with Conference 8681 and 8682
icon_mobile_dropdown
In situ dissolution analysis of half-pitch line and space patterns at various resist platforms using high speed atomic force microscopy
Preliminary results of in situ analyses of dissolution of resist patterns of half-pitch (hp) lines and spaces (L/S) using high-speed atomic force microscopy are discussed. Initial experiments were conducted on an EUV-exposed 32 nm hp L/S pattern using a standard concentration (0.26 N) of tetramethylammonium hydroxide (TMAH) developer solution. This was done using a carbon nanofiber-based cantilever tip and after various tool enhancements and optimizations. Difference in the dissolution characteristics of various resist polymers such as polyhydroxystyrene (PHS)-based and hybrid (PHS-methacryl) resists was observed. These results are in agreement with the previously obtained results of measurements performed with 32 nm isolated line patterns on resist films developed with diluted developer solutions (1/20 of 0.26 N TMAH), where the PHS-based resist showed a uniformly dissolved, grain-like dissolution characteristic, while the hybrid resist exhibited resist swelling of the exposed resist film.
DSA Materials and Processing: Joint Session with Conference 8680 and 8682
icon_mobile_dropdown
Advances in directed self assembly integration and manufacturability at 300 mm
Benjamen Rathsack, Mark Somervell, Makato Muramatsu, et al.
Directed self-assembly (DSA) has the potential to extend scaling for both line/space and hole patterns. DSA has shown the capability for pitch reduction (multiplication), hole shrinks, CD self-healing as well as a pathway towards LWR and pattern collapse improvement [1-10]. TEL has developed a DSA development ecosystem (collaboration with customers, consortia, inspection vendors and material suppliers) to successfully demonstrate directed PS-PMMA DSA patterns using chemo-epitaxy (lift-off and etch guide) and grapho-epitaxy integrations on 300 mm wafers. New processes are being developed to simplify process integration, to reduce defects and to address design integration challenges with the long term goal of robust manufacturability. For hole DSA applications, a wet development process has been developed that enables traditional post-develop metrology through the high selectivity removal of PMMA cylindrical cores. For line/ space DSA applications, new track, cleans and etch processes have been developed to improve manufacturability. In collaboration with universities and consortia, fundamental process studies and simulations are used to drive process improvement and defect investigation. To extend DSA resolution beyond a PS-PMMA system, high chi materials and processes are also explored. In this paper, TEL’s latest process solutions for both hole and line/space DSA process integrations are presented.
Progress in directed self-assembly hole shrink applications
Todd R. Younkin, Roel Gronheid, Paulina Rincon Delgadillo, et al.
Directed Self-Assembly (DSA) has become a promising alternative for generating fine lithographic patterns. Since contact holes are among the most difficult structures to resolve through traditional lithographic means, directed selfassembly applications that generate smaller contact holes are of particular interest to the industry. In this paper, DSA integrations that shrink pre-patterned contact holes were explored. The use of both block copolymers (BCPs)1 and blended polymer systems2 was considered. In addition, both wet3 and dry4 techniques were used to develop the central core out of the respective phase-separated morphologies. Finally, the hole patterns created through the various contact hole applications were transferred to substrates of interest with the goal of incorporating them into an IMEC 28 nm node via chain electrical test vehicle for direct, side-by-side comparison.
Negative Tone Patterning
icon_mobile_dropdown
Process development of the EUVL negative-tone imaging at EIDEC
Toshiya Takahashi, Ryuji Onishi, Toshiro Itani
Underlayer and resist materials were investigated for negative-tone development (NTD) using extreme ultraviolet (EUV) lithography. NTD-compatible underlayers reduced the pattern collapse observed in preliminary NTD evaluations. An NTD resist with higher activation energy (Ea) deprotecting groups or higher glass transition temperature (Tg) polymer improved the resolution and line width roughness (LWR). The improvements provided by the combination of underlayer and resist materials with respect to NTD, ultimate resolution, and trench patterning were evaluated. In addition, we studied the dissolution characteristics by comparing negative-tone and positive-tone resists to achieve a fundamental understanding of their characteristics.
Sub-20nm lithography negative tone chemically amplified resists using cross-linker additives
Prashant K. Kulshreshtha, Ken Maruyama, Sara Kiani, et al.
Here, we report the highest recorded resolution for a negative-tone, carbon-based, chemically amplified (CA) resist of 20 nm half-pitch (HP) using both E-beam and EUV exposure systems. The new chemistry incorporates variable amounts of oxetane (0, 5, 10 and 20%) cross-linker into a base of Noria-MAd (methyl-admantane) molecular resist. Cross-linkable resists showed simultaneous improvements in surface energy, structural integrity, and swelling to ensure collapse free 20nm HP patterns and line-edge roughness (LER) down to 2.3 nm. EUV exposed Noria-Ox (5%) cross-linked resist patterns demonstrated 5 times improvement in Z-factor (for 24 nm HP) over Noria-MAd alone.
Investigation of trench and contact hole shrink mechanism in the negative tone develop process
The objective of this work was to study the trench and contact hole shrink mechanism in negative tone develop resist processes and its manufacturability challenges associated for 20nm technology nodes and beyond. Process delay from post-exposure to develop, or “queue time”, is studied in detail. The impact of time link delay on resolved critical dimension (CD) is fully characterized for patterned resist and etched geometries as a function of various process changes. In this study, we assembled a detailed, theoretical model and performed experimental work to correlated time link delay to acid diffusion within the resist polymer matrix. Acid diffusion is determined using both a modulation transfer function for diffusion and simple approximation based on Fick’s law of diffusion.
Negative tone imaging (NTI) with KrF: extension of 248nm IIP lithography to under sub-20nm logic device
Tae-Hwan Oh, Tae-Sun Kim, Yura Kim, et al.
One of the most prospective alternative lithography ways prior to EUV implementation is the reverse imaging by means of a negative tone development (NTD) process with solvent-based developer. Contact and trench patterns can be printed in CAR (Chemically amplified resist) using a bright field mask through NTD development, and can give much better image contrast (NILS) than PTD process. Not only for contact or trench masks, but also pattering of IIP (Ion Implantation) layers whose mask opening ratio is less than 20% may get the benefit of NTD process, not only in the point of aerial imaging, but also in achievement of vertical resist profile, especially for post gate layers which have complex sub_topologies and nitride substrate. In this paper, we present applications for the NTD technique to IIP (Ion Implantation) layer lithography patterning, via KrF exposure, comparing the performance to that of the PTD process. Especially, to extend 248nm IIP litho to sub-20nm logic device, optimization of negative tone imaging (NTI) with KrF exposure is the main focus in this paper. With the special resin system designed for KrF NTD process, even sub 100nm half-pitch trench pattern can be defined with enough process margin and vertical resist profiles can be also obtained on the nitride substrate with KrF exposure.
EUV lithography performance of negative-tone chemically amplified fullerene resist
A. Frommhold, D. X. Yang, A. McClelland, et al.
With Extreme Ultraviolet Lithography (EUVL) emerging as one of the top contenders to succeed from optical lithography for the production of next generation semiconductor devices, the search for suitable resists that combine high resolution, low line edge roughness (LER) and commercially viable sensitivity for high volume production is still ongoing. One promising approach to achieve these goals has been the development of molecular resists. We have previously reported on a molecular negative tone resist for e-beam lithography based on fullerene derivatives. Since then we have developed the system further to adapt it to EUVL. Investigation into the lithographic performance of the resist shows resolution down to 20 nm halfpitch with LERs < 5 nm and sensitivities ~ 20 mJ/cm2.
Non-aqueous negative-tone development of inorganic metal oxide nanoparticle photoresists for next generation lithography
Christine Y. Ouyang, Yeon Sook Chung, Li Li, et al.
As feature sizes continue to shrink, the need for new materials and processes becomes more urgent. In order to achieve high-resolution patterns and low line edge roughness (LER), there have been many studies on small molecular resists. In terms of processes, there have been growing interests in negative-tone development because of its better performance in printing narrow trenches and contact holes. As new patterning materials, we have synthesized inorganic nanoparticle resists that consist of a metal oxide (HfO2 or ZrO2) core surrounded by organic ligands. The inorganic core provides high etch-resistance while the organic ligands give the resists photochemical functionality. Because of their high etch-resistance, thin films of these nanoparticle photoresists are sufficient to provide good pattern transfer to the substrate and eliminate problems such as pattern collapse. Negative-tone patterning of these nanoparticle photoresists can be achieved by using an organic solvent. The small sizes (1-3nm) of these nanoparticle resists can also enable high-resolution patterning and have the potential to reduce LER. We have successfully shown negative-tone patterning of these nanoparticle resists with features as small as 30 nm using both e-beam and EUV lithography and this paper seeks to study the NTD results with different negative-tone developers.
Novel Patterning Materials
icon_mobile_dropdown
New spin-on metal hardmask materials for lithography processes
Huirong Yao, Salem Mullen, Elizabeth Wolfer, et al.
Since the critical dimensions in integrated circuit (IC) device fabrication continue to shrink below 32 nm, multilayer stacks with alternating etch selectivities are required for successful pattern transfer from the exposed photoresist to the substrate. Inorganic resist underlayer materials are used as hard masks in reactive ion etching (RIE) with oxidative gases. The conventional silicon hardmask has demonstrated good reflectivity control and reasonable etch selectivity. However, some issues such as the rework of trilayer stacks and cleaning of oxide residue by wet chemistry are challenging problems for manufacturability. The present work reveals novel spin-on underlayer materials containing significant amounts of metal oxides in the film after baking at normal processing conditions. Such an inorganic metal hardmask (MHM) has excellent etch selectivity in plasma etch processes of the trilayer stack. The composition has good long term shelf life and pot life stability based on solution LPC analysis and wafer defect studies, respectively. The material absorbs DUV wavelengths and can be used as a spin-on inorganic or hybrid antireflective coating to control substrate reflectivity under DUV exposure of photoresist. Some of these metal-containing materials can be used as an underlayer in EUV lithography to significantly enhance photospeed. Specific metal hard masks are also developed for via or trench filling applications in IRT processes. The materials have shown good coating and lithography performance with a film thicknesses as low as 10 nm under ArF dry or immersion conditions. In addition, the metal oxide films or residues can be partially or completely removed by using various wet-etching solutions at ambient temperature.
Development of KrF hybrid resist for a dual-isolation application
Sen Liu, Steven Holmes, Kuang Jung Chen, et al.
As an option to traditional positive or negative photoresist, hybrid resist has been developed to provide an alternative way to create small trench features, at the range of 20-60 nm, by generating with a single expose, with both positive and negative responses to TMAH developer in one resist layer. [1] Here we report the design and development of a series of frequency-doubling KrF hybrid resists for an Extremely Thin Silicon on Insulator (ETSOI) dual-isolation application for 20 nm node and beyond. The resist formulations were optimized in terms of photo-acid generators (PAGs), PAG loading level and polymers. The resulting KrF hybrid resists are compatible with conventional KrF lithography processes, including conventional illumination, binary masks and 0.26 N TMAH developer, to afford a spacewidth of 20-60 nm. The space CD can be controlled by means of formulation and process options, but is insensitive to expose dose and mask CD. On integrated wafers, the hybrid resists have demonstrated good lithography performance, including through-pitch CD uniformity, focus/expose process window, profile, LER and RIE behavior. This hybrid resist process has been used to fabricate initial development structures for high performance dual-isolation ETSOI devices.
Novel photoresist thin films with in-situ photoacid generator by molecular layer deposition
Han Zhou, Stacey F. Bent
Current photoresist materials are facing many challenges introduced by advanced lithographies, particularly the need for excellent compositional homogeneity and ultrathin film thickness. Traditional spin-on polymeric resists have inherent limitations in achieving a high level of control over the chemical composition, leading to interest in development of alternative methods for making photoresists. In this work, we demonstrate that molecular layer deposition (MLD) is a potential method for synthesizing photoresists because it allows for precise control over organic film thickness and composition. MLD utilizes sequential, self-limiting reactions of organic precursors to build a thin film directly on a substrate surface and grows organic films by depositing only one molecular layer at each precursor dose, which in turn allows for fine-tuning of the position and concentration of various functionalities in the deposited film. In this study, we use bifunctional precursors, diamine and diisocyanate, to build polyurea resist films via urea coupling reaction between the amine and isocyanate groups. Acid-labile groups and photoacid generators (PAGs) are embedded in the backbone of the resist films with a highly uniform distribution. The resist films were successfully deposited and characterized for both materials properties and resist response. E-beam patterning was achieved with the resist films. Cross-linking behavior of the resist films was observed, likely due to the aromatic rings in the films, which is undesirable for application as a positive-tone photoresist. Moreover, the in-situ polymer-bound PAGs had low sensitivity. It is suggested that this effect may arise because the PAG is cation-bound, leading to lower efficiency of sulfur-carbon bond cleavage in the sulfonium cation, which is needed to produce the photoacid, and consequently a lower photoacid yield. Further work is needed to improve the performance of the MLD resist films.
Novel patternable and conducting metal-polymer nanocomposites: a step towards advanced mutlifunctional materials
Pedro J. Rodríguez-Cantó, Mariluz Martínez-Marco, Rafael Abargues, et al.
In this work, we present a novel patternable conducting nanocomposite containing gold nanoparticles. Here, the in-situ polymerization of 3T is carried out using HAuCl4 as oxidizing agent inside PMMA as host matrix. During the bake step, the gold salt is also reduced from Au(III) to Au(0) generating Au nanoparticles in the interpenetrating polymer network (IPN) system. We found that this novel multifunctional resist shows electrical conductivity and plasmonic properties as well as potential patterning capability provided by the host matrix. The resulting nanocomposite has been investigated by TEM and UV-Vis spectroscopy. Electrical characterization was also conducted for different concentration of 3T and Au(III) following a characteristic percolation behaviour. Conductivities values from 10-5 to 10 S/cm were successfully obtained depending on the IPN formulation. Moreover, The Au nanoparticles generated exhibited a localized surface plasmon resonance at around 520 nm. This synthetic approach is of potential application to modify the conductivity of numerous insulating polymers and synthesize Au nanoparticles preserving to some extent their physical and chemical properties. In addition, combination of optical properties (Plasmonics), electrical, and lithographic capability in the same material allows for the design of materials with novel functionalities and provides the basis for next generation devices.
Metal-polymer nanocomposite resist: a step towards in-situ nanopatterns metallization
R. Abargues, M. L. Martinez-Marco, P. J. Rodriguez-Canto, et al.
In this work we propose an alternative method for the fabrication of metal micro/nanostructures from metal-polymer nanocomposite resists and their subsequent electroless metallization. The process consists of three simple steps: 1. Fabrication of micro or nanopatterns by lithography or any other direct printing technologies (inkjet or microplotter printing...), 2. In-situ synthesis of metal nanoparticle during a post-bake step and 3. Non-electrochemical metallization of nanocomposite patterns. The novelty of our approach is that both Ag and Au nanoparticles are embedded into the polymer pattern and act as seeds for the reduction of Ag(I) when immersed into a solution of the corresponding precursor metal salt (AgNO3) and an appropriate reducing agent. The key point of this procedure is the use of a weak reducing agent so that the metal reduction selectively takes place on the surface of the already formed nanoparticles, but not in the bulk of the growing solution. As a result, metal nanoparticles grow significantly into the nanocomposite structure until the micro/nanopattern is completely metallized. The growth of nanoparticles and the subsequent pattern metallization occur within a few hours. The metallization process was followed by UV-Vis spectroscopy, SEM and TEM for different reaction times. We also carried out the electrical characterization of the layers and confirmed that bulk conductivities of silver were achieved. Given that the progress of plasmonics is closely related to the advancement of material research and fabrication technology, we believe that this fabrication method may be very useful for the fabrication of devices profiting of SP and SPP optics (sensing, photovoltaics, optoelectronics…).
E-Beam Patterning Materials
icon_mobile_dropdown
Advanced electron beam resist requirements and challenges
Andrew Jamieson, Bennett Olson, Maiying Lu, et al.
Electron beam resists are critical to photomask production and have significant impacts on advanced semiconductor manufacturing. In this paper, we’ll discuss current and future challenges in electron beam resist development. These materials face many of the same issues as EUV resists, especially in their tradeoffs between resolution, dose and LER. However, electron beam exposure creates unique complications associated with backscattered electrons and charging. We’ll investigate these effects and the requirements and challenges that result.
Effects on electron scattering and resist characteristics using assisting underlayers for e-beam direct write lithography
Xaver Thrun, Kang-Hoon Choi, Norbert Hanisch, et al.
Resist processing for future technology nodes becomes more and more complex. The resist film thickness is getting thinner and hardmask concepts (trilayer) are needed for reproducible etch transfer into the stack. Additional layers between resist and substrate are influencing the electron scattering in e-beam lithography and may also improve sensitivity and resolution. In this study, bare silicon wafers with different assisting underlayers were processed in a 300 mm CMOS manufacturing environment and were exposed on a 50 keV VISTEC SB3050DW variable-shaped electron beam direct writer at Fraunhofer CNT. The underlayers are organic-inorganic hybrid coatings with different metal additives. The negative-tone resist was evaluated in terms of contrast, sensitivity, resolution and LWR/LER as a function of the stack. The interactions between resist and different assisting underlayers on e-beam direct writing will be investigated. These layers could be used to optimize the trade-off among resolution, LWR and sensitivity in future applications.
Sub-14 nm HSQ line patterning by e-beam dose proximity effect correction assisted with designed line CD/pitch split
Wei-Su Chen, Chu-Ya Yang, Chiung Yu Lo, et al.
New applications on memory and logic devices need to form line shape pattern below 20 nm. Most of the prior articles for studying HSQ line CD resolution applied hot or cold (non-room temperature (RT)) development, salty development, KOH-based development or high concentration TMAH developer (like 25%) to push CD resolution to below 10 nm but these methods are not standard IC process compatible with 2.38% TMAH development at RT. E-beam lithography processes are applied to investigate CD resolution on RRAM film stacking of TiN/Ti/HfOx with or without proximity effect correction (PEC). Both dose and shape (line CD and pitch) modulations are applied in this study to obtain finest line width resolution using IC process compatible 2.38% TMAH developer at RT. Experimental results are summarized below. Lowest base dose of HSQ for pattern to be resolvable is larger than 1,000 (μC/cm2). Smallest line CD of 13.1 nm for designed CD of 5 nm (G4) is resolved to 2 separate lines on R1st dense-line pattern with e-beam dose of 5,000 (μC/cm2) assisted by dose PEC. Two lines of R1st dense-pattern is merged to single line of 14.7 nm at 10,000 (μC/cm2). 2lines dense-line pattern is clearly resolved to 2 separate lines at 1,500 (μC/cm2) but merged to one line at 2,000 (μC/cm2). Iso-line on the right of two dense-lines of 2lines pattern contributes backscattered dose which increases the line width. 5lines dense-line pattern could only resolve to 18.3 nm. Iso-line R1st_1L is resolvable to 18.5 nm with uniform distribution of CD across the line and 13.6 nm is also resolved with more rough line edge. In summary, sub-14 nm line width of HSQ could be resolved by the combination of e-beam dose and shape modulations using standard 2.38% TAMH developer. This process is suitable for applications using metal oxide films.
The evaluation of photo/e-beam complementary grayscale lithography for high topography 3D structure
Liya Yu, Richard J. Kasica, Robert N. Newby, et al.
This article demonstrates and evaluates the feasibility of photo/e-beam grayscale complementary lithography processes for the fabrication of large area, high topography grayscale structure. The combination of these two techniques capitalizes on the capability of photolithography to generate large three-dimensional structures and the ability of e-beam lithography to add fine structure while maintaining high vertical resolution. The litho-etching-litho-etching process reduces the challenges associated with the etching process when transferring fine features simultaneously with deep substrate etching. As a result, this approach enables the fabrication of large-scale high topography features with fine detail. In the first lithography step, a large staircase is fabricated by direct write photolithography. After the photolithography pattern has been transferred into the substrate, e-beam resist is deposited on the patterned substrate using spray coating to obtain conformal coverage on the deep stepped structure. In the second exposure step, an e-beam system further patterns the steps with finer features. Only a small number of grayscale levels are exposed which simplifies the required proximity correction in the design. A vertical resolution of 25 ± 5 nm in a 600 nm horizontal dimension and 45 ± 6 nm in a 300 nm horizontal feature are achieved over a 2 μm to 30 μm vertical depth range. The alignment strategy of complementary lithography, overlay error, and process optimization of integrating high topography and grayscale structure are discussed.
EUV Materials, Processing, and Analysis
icon_mobile_dropdown
Progress in resolution, sensitivity, and critical dimensional uniformity of EUV chemically amplified resists
James Thackeray, James Cameron, Vipul Jain, et al.
This paper will discuss further progress obtained at Dow for the improvement of the Resolution, Contact critical dimension uniformity(CDU), and Sensitivity of EUV chemically amplified resists. For resolution, we have employed the use of polymer-bound photoacid generator (PBP) concept to reduce the intrinsic acid diffusion that limits the ultimate resolving capability of CA resists. For CDU, we have focused on intrinsic dissolution contrast and have found that the photo-decomposable base (PDB) concept can be successfully employed. With the use of a PDB, we can reduce CDU variation at a lower exposure energy. For sensitivity, we have focused on more efficient EUV photon capture through increased EUV absorption, as well as more highly efficient PAGs for greater acid generating efficiency. The formulation concepts will be confirmed using Prolith stochastic resist modeling. For the 26nm hp contact holes, we get excellent overall process window with over 280nm depth of focus for a 10% exposure latitude Process window. The 1sigma Critical dimension uniformity [CDU] is 1.1 nm. We also obtain 20nm hp contact resolution in one of our new EUV resists.
Negative tone imaging process and materials for EUV lighography
Shinji Tarutani, Wataru Nihashi, Shuuji Hirano, et al.
The advantages of NTI process in EUV is demonstrated by optical simulation method for 0.25NA and 0.33NA illumination system with view point of optical aerial image quality and photon density. The extendability of NTI for higher NA system is considered for further tight pitch and small size contact hole imaging capability. Process and material design strategy to NTI were discussed with consideration on comparison to ArF NTI process and materials, and challenges in EUV materials dedicated to NTI process were discussed as well. A new polymer was well designed for EUV-NTD process, and the resists formulated with the new polymer demonstrated good advantage of resolution and sensitivity in isolated trench imaging, and 24 nm half pitch resolution at dense C/H, with 0.3NA MET tool.
Underlayer and rinse materials for improving EUV resist performance
Photoresists play a key role in enabling the patterning process, and the development of their chemistry has contributed significantly to the industry’s ability to continue shrinking device dimensions. However, with the increasing complexity of patterning ever smaller features, photoresist performance needs to be supported by a large number of materials, such as antireflective coatings and anti-collapse rinses. Bottom anti-reflective coatings are widely used to control reflectivity-driven pattern fidelity in i-line and DUV exposures. While no such reflectivity control is required at EUV wavelengths, it has been demonstrated that use of an EUV underlayer (EBL) coating with high EUV photon absorption (EPA) unit can improve resist performance such as sensitivity and resist-substrate poisoning, thereby improving resolution and process window. EBL can also help to reduce the effect of out-of-band (OoB) irradiation. Traditionally, final photoresist image cleaning after the develop step has been performed using de-ionized water, generally known as a “rinse step”. More recently pattern collapse has developed to a major failure mode in high resolution lithography attributed to strong capillary forces induced by water resulting in pattern bending (‘pattern sticking’) or adhesion failure. With decreasing feature geometries (DPT immersion lithography, EUV) the benefit of rinse solutions to prevent pattern collapse has increased. In addition such rinse solutions can in some cases improve defects and LWR. In this paper we describe the advantages of AZ® EBL series of EUV underlayer materials and EUV FIRM® EXTREME rinse solutions when applied individually and in combinations. It is demonstrated that the use of underlayer materials can help improve LWR through improvement of resist profiles. Use of FIRM® EXTREME rinse is shown to provide significant improvement in collapse margin and total defect counts.
High absorbing resists based on trifluoromethacrylate-vinyl ether copolymers for EUV lithography
Matthew D. Christianson, Matthew M. Meyer, Owendi Ongayi, et al.
Highly sensitive EUV photoresists remain a critical challenge to enable high volume manufacturing with EUV lithography. Chemically amplified resists continue to provide the best sensitivity for EUV photoresists, but the high EUV transparency of most resists continues to waste a large percentage of EUV photons that pass through the resist film without photochemically generating acid. Highly fluorinated polymers have been proposed as more sensitive resist materials because fluorine increases EUV absorption of a material. Thus, methacrylate-like polymers with fluorinated backbones are an attractive possibility for new EUV resist materials. Trifluoromethacrylates are known to undergo free radical polymerization with electron rich comonomers such as vinyl ethers. The resulting polymers have backbone trifluoromethyl groups that enhance the absorption of EUV photons while retaining the potential for solubility change by acid-catalyzed tertiary ester deprotection similar to methacrylate-based chemically amplified resists. Interestingly, copolymerizations of trifluoromethacrylates and vinyl ethers are not particularly sensitive to traditional experimental parameters that influence polymer molecular weight. Instead, we have found that the structure of the vinyl ether comonomer has a profound impact on polymer molecular weight with acyclic vinyl ethers generating very high molecular weight polymers (Mw exceeding 100,000 g/mol) and cyclic vinyl ethers generating very low molecular weight polymers (Mw less than 10,000 g/mol) under similar conditions. Combination of cyclic and non-cyclic vinyl ethers in the monomer feed enables the synthesis of polymers at a desired molecular weight with a narrow polydispersity (PDI less than 2). Initial EUV contrast curves demonstrate potential for highly sensitive photoresists using these backbone-fluorinated polymers. A variety of polymers have been prepared to determine optimum compositions of leaving group, sensitizing, and etch resistant monomers. Additionally, compositions with a variety of functional groups were prepared to optimize the polymer properties to enable resists that form uniform films with low unexposed film thickness loss while maintaining high EUV sensitivity. EUV lithography of one of the resist materials demonstrates its utility as a photoresist capable of printing features to about 30 nm. Continued optimization of materials is focused on resolving features below 20 nm.
Fundamental Studies of RLS Behavior
icon_mobile_dropdown
LWR study on resist formulation parameters
Kyoungyoung Cho, Shinji Tarutani, Naoki Inoue, et al.
The influence of resist formulation parameters on line width roughness (LWR) and line edge roughness (LER) were studied systematically. Studied parameters were photoacid generator (PAG) loading, blended versus polymer bound PAG type, PEB temperature, molecular weight of polymer, quencher pKb, molecular size, hydrophobicity, and acid amplifier effect. We found an optimum PAG loading point and post-exposure bake (PEB) temperature. Blending and bound-PAG types gave a similar LWR number at the optimum loading, however, bound-PAG needed much larger dose to size. There was an optimum Mw, below which gave worse LWR, and above which required a larger dose to size. , It was difficult to see a difference of LWR for different types of quencher, however, there was a weak trend of better pattern profile with less basic quenchers. The resists that had acid amplifier in them gave a worse pattern profile and LWR number. From these studies, we found that optimization of PAG loading and quencher type are very important, and baking process optimization is also very important to obtain the best LWR number.
Monitoring the evolution of line edge roughness during resist development using an analog of quenched flow kinetics
Line Edge Roughness (LER) continues to be a serious problem for high resolution 193 nm (ArF), E-beam and EUV resists despite years of research. Changes to the resist formulations, the use of low molecular weight (MW) materials, such as molecular glass resists, and special developers have all been used in attempts to minimize LER. In addition, much recent work has focused on post development processes such as rinses, special coatings and thermal treatments to reduce roughness. However there remains a lack of understanding of the origins of LER. Recently researchers have described interesting results based on the use of in situ high speed AFM to characterize LER during development.1 In this report we describe a complementary technique wherein the evolution of the roughness of the resist line is measured at different times during the development process. This is accomplished by using a specially designed flow cell 2-5 to control the developer contact time for a series of identically patterned fields and measuring the partially developed patterns with scanning electron microscopy (SEM). We will describe the results for different resist chemistries at 248 nm (KrF). In one aspect of this study, we examine resists that have been patterned at different aerial image contrast (AIC) to systematically probe its effect on LER 6 for a given resist. We intend to extend this work to different exposure systems including 193 nm, EUV and electron-beam.
Evaluation of sensitivity for positive tone non-chemically and chemically amplified resists using ionized radiation: EUV, x-ray, electron and ion induced reactions
Akihiro Oshima, Tomoko Gowa Oyama, Masakazu Washio, et al.
The different exposure sources induce a different energy deposition in resist materials. Linear energy transfer (LET) effect for resist sensitivity is very important issue from the viewpoint of radiation induced chemical reactions for high-volume nanofabrication. The sensitivities of positive tone non-chemically (non-CA, ZEP) and chemically amplified (CA, UV-3) resist materials are evaluated using various ionized radiation such as EUV, soft X-rays, EB and various ion beams. Since the notations of sensitivity of resist vary with exposure sources, in order to evaluate systematically, the resist sensitivity were estimated in terms of absorbed dose in resist materials. Highly-monochromated EUV and soft X-rays (6.7 nm – 3.1 nm) from the BL27SU of the SPring-8, high energy ion beams (C6+, Ne10+, Mg12+, Si14+ , Ar18+, Kr36+ and Xe54+) with 6 MeV/u from MEXP of HIMAC, EB from low energy EB accelerator (Hamamatsu Photonics, EB-engine®, 100 kV) and EB lithography system (30 keV and 75keV) were used for the exposure. For non-CA and CA resist materials, it was found that LET effects for sensitivity would be hardly observed except for heavier ion beams. Especially, in the case of the high energy ion beam less than Si14+ with 6 MeV/u, it is suggested that the radiation induced chemical reaction would be equivalent to EUV, soft X-ray and EB exposure. Hence, it indicates that the resist sensitivity could be systematically evaluated by absorbed dose in resist materials.
Study on dissolution behavior of polymer-bound and polymer-blended photo-acid generator (PAG) resists
The requirements for the next generation resist materials are so challenging that it is indispensable for feasibility of EUV lithography to grasp basic chemistry of resist matrices in all stage of resist processes. Under such circumstances, it is very important to know dissolution characteristics of the resist film into alkaline developer though the dissolution of exposed area of resist films in alkaline developer to form a pattern is a complex reactive process. In this study, the influence of EUV and KrF exposure on the dissolution behavior of polymer bound PAG and polymer blended PAG was studied in detail using quartz crystal microbalance (QCM) methods. The difference in swelling formation between KrF and EUV exposure was observed. It is likely that difference of reaction mechanism induces the difference of these swelling. Also, it is observed that the swelling of polymer-bound PAG is less than that of polymer blended PAG in both KrF and EUV exposure. This result indicates that polymer-bound PAG suppresses swelling very well and showed an excellent performance. Actually, the developed polymer bound-PAG resist showed an excellent performance (half pitch 50 nm line and space pattern). Thus, polymer bound PAG is one of the promising candidate for 16 nm EUV resist.
Poster Session: Spacer Development
icon_mobile_dropdown
Pattern wiggling investigation of self-aligned double patterning for 2x nm node NAND Flash and beyond
You-Yu Lin, Chun-Chi Chen, Chia-Yu Li, et al.
Double patterning technology (DPT) has been identified as the extension of optical photolithography technologies to 3x nm half-pitch and below to fill in the gap between Immersion and EUV lithography. Self-aligned double patterning (SADP) technology utilized mature process to reduce risk and faster time to support the continuation of Moore’s Law. As for the SADP process, the suitable hard mask (HM) material as following core pattern selection is quite important. Usually, the severe pattern deformation –wiggling, is easy to happen as the line/space patterns scaled down to below 35nm, and it ultimately prevents the successful pattern transfer. In this paper, using the amorphous carbon as HM, it was found that wiggling was caused by serious chemical side-etch during SADP dry etch process. However, an effective of advanced carbon material with high etch selectivity and low etch rate by appropriate film modification can be successful in SADP without wiggling side effect for 2x nm node NAND Flash application. This extraordinary HM can be considered as a potential choice for SADP process continual performing.
Extendibility of self-aligned type multiple patterning for further scaling
Photolithography has been a driving force behind semiconductor scaling, but the technology has been at a standstill since the development of 193-nm water-based immersion lithography. As a consequence, the double patterning process has become the standard technology for diverse types of semiconductor devices as a means of extending the life of 193-nm exposure technology. We have previously reported on the extendibility and versatility of the double patterning process, from pitch-doubling by self-aligned double patterning (SADP)[1] to pitch-quadrupling by self-aligned quadruple patterning (SAQP)[2]. We also reported on the effectiveness of SADP technology for increasing resolution in hole patterns. While waiting for the development of extreme ultraviolet (EUV) lithography tools to be completed, it will be necessary to search out possibilities for further semiconductor scaling using the double patterning process as the mainstream technique for extending the life of 193-nm immersion lithography.
Process requirement of self-aligned multiple patterning
EUV lithography is one of the most promising techniques for the advanced patterning, however it is well known that EUVL solutions still face significant challenges. Therefore we have focused on 193 based self-aligned multiple patterning, because SAMP(SADP to SAQP) easily enables fine periodical patterning. As you know, current EUVL cannot satisfy enough resolution for sub 10nm hp critical patterning. We have already introduced innovative 193 based SADP/SAQP techniques and have demonstrated results in past SPIE sessions.[1][2][3][4] we will recommend the dry cleaning technique for the pattern collapse issue of 2nd core formation. On the other hand, we have to assume the possibility of EUV+SADP in order to interpolate the EUV resolution limit. In this paper, we will discuss about the requirement process factors of 193+SAQP and EUV+SADP.
Poster Session: Fundamentals
icon_mobile_dropdown
Capability study and challenges to sub-2x nm node contact hole patterning
Wan-Lin Kuo, Ya-Ting Chan, Meng-Feng Tsai, et al.
As the scaling down of design rule for high density memory device continues, the contact hole size shrinkage becomes one of the major challenges to patterning. Many shrinkage approaches have been introduced after litho. process, such as chemical shrink, PR reflow, RIE shrink, etc. However, CD uniformity control for these shrink processes is critical, and minimum pitch size is still dominated by the resolution limitation of lithography tools. In this paper, we adopt SADP (self-aligned double patterning) process combined with additional non-critical mask step to form 32nm hp elliptical single row dense and isolated contact holes. The CD uniformity is well controlled by SADP process, and chip size reduction is achievable by this high-density single row layout compared with interlace contact hole design. We also compared this new approach with chemical shrink process, and both the CD uniformity and resolution limit are improved. With optimized step-by-step etch process, we have successfully demonstrated the contact hole patterns on full-structure substrate. For the future application toward sub-2x nm node, this approach is also expectable with mature SADP process.
Photoresist film analysis to investigate LWR generation mechanism
Shinichi Nakamura, Kenji Mochida, Tooru Kimura, et al.
In order to understand the mechanism of line width roughness (LWR) generation and to find control knobs for improving photoresist design, we established PAG activity analysis methods by utilizing Time-of-Flight Secondary Ion Mass Spectrometry (TOF-SIMS) and Transmission Electron Microscopy (TEM). TOF-SIMS depth profiling using Gas Cluster Ion Beam (GCIB) allowed the ability to clearly identify photoresist ingredient distribution in the photoresist films from the surface to the bottom of the resist films. TEM provided distribution information of photoresist ingredients in nanometer scale. As a result, PAG function and polymer reaction mechanism can be monitored by these methods. The TOFSIMS outputs during coating, exposure, and post-exposure bake (PEB) steps provide indications of distribution change of PAGs, quenching reaction derivatives, and remaining protecting group, which correspond to acid generation distribution, acid diffusion, and diffusion of deprotection reaction in photoresist film respectively during each consecutive lithographic patterning step. The difference in activity of PAGs can also be observed. These novel analytical methods can provide remarkably helpful information about identifying proper control knobs for lithographic performance of photoresist and for next generation lithography (NGL), especially extreme ultra violet lithography (EUVL) materials, where exposure tool time is very limited.
Theoretical study of deprotonation of polymer radical cation for EUV resist
M. Endo, S. Tagawa
We studied the deprotonation of polymer radical cation for extreme ultraviolet (EUV) resist. Quantum chemical calculation was performed. Upon EUV exposure to the polymer in resist, the ionization of the polymer occurs and the secondary electrons generate. After the ionization, the radical cations on the polymer generated by ionization are deprotonated. Protonated polymer reacts with the anion part of photoacid generator, which was reacted with the secondary electrons. As the results, the photoacid generates. For the sensitivity of resist, we so far theoretically clarified the importance of the ionization of polymer and the electron affinity of photoacid generator. In this paper, the deprotonation of polymer radical cation was investigated. The styrene polymers and acryl polymers with various substituent groups were compared. It was found that the phenol group of styrene polymer and hydroxyadamantane group of acryl polymer is preferable proton source, respectively.
Analysis of acid-generating action of PAG in an EUV resist using acid-sensitive dyes
Researchers are currently examining various methods for determining the quantity of acid generated by a photoacid generator (PAG) and for analyzing acid-generating reactions using acid-sensitive dyes that react with acid and generate a color. Adding an acid-sensitive dye to the resist gives a clear grasp of the acid-generating action. The process involves applying a resist containing an acid-sensitive dye to a quartz substrate; exposing the substrate; and measuring and evaluating the absorbance of a chromogenic substance near 530 nm using a spectroscope. The method determines the rate constant for acid generation (Dill C parameter) during exposure based on the relationship between transmissivity at 530 nm and exposure dose. Using this method, we obtained and compared rate constants for acid generation (C parameters) as part of our study of dependence on the quantity of quencher in the EUV resist. Our results indicate a new model that accounts for the quencher concentration parameter would be useful in analyzing dependence on the quantity of quencher. This paper presents these findings, together with the results of studies of profile simulations using the quencher concentration parameter obtained in the experiments.
Calculating development parameters for chemically amplified resists by the film-reducing method
We obtained development parameters for a chemically amplified resist from calculations involving the conversion of the relationship between exposure dose and development rate to the relationship between protection ratio and development rate using the conventional ABC parameter[1] and development rate data (RDA data) [2]. However, calculations by this method require the ABC parameter. Since chemically amplified resists have no bleaching effect, the C parameter must be measured by the FT-IR [3-5] or coumarin addition method [6-8]. Given this constraint, we examined the method of obtaining development parameters based on the film reduction observed in the resist exposed or the film reduction observed after PEB, without using the ABC parameter. This paper presents the results.
Study of swelling behavior in ArF resist during development by the QCM method (3): observations of swelling layer elastic modulus
The QCM method allows measurements of impedance, an index of swelling layer viscosity in a photoresist during development. While impedance is sometimes used as a qualitative index of change in the viscosity of the swelling layer, it has to date not been used quantitatively, for data analysis. We explored a method for converting impedance values to elastic modulus (Pa), a coefficient expressing viscosity. Applying this method, we compared changes in the viscosity of the swelling layer in an ArF resist generated during development in a TMAH developing solution and in a TBAH developing solution. This paper reports the results of this comparative study.
Light scattering by organic crosslinking material using nanomorphology of polymer blends
Satoshi Takei, Naoya Kubo, Takumi Ichikawa, et al.
This study reports a progress to create the regularly-structured circle using nanomorphology by the nanoparticle free polymer blends. The developed light scattering organic crosslinking material containing no particles, indicated excellent light scattering rates of more than 6.0 % at 300-400 nm wavelength, a sufficient thermal stability up to 170 °C, and better O2 gas barrier properties than that of polyethylene. This desirable concept using nanomorphology of polymer blends in light scattering film is expected to be effective in promoting the future production of next generation electronic devices.
Line edge roughness of high deprotection activation energy photoresist by using sub-millisecond post exposure bake
Jing Jiang, Byungki Jung, Michael O. Thompson, et al.
The semiconductor industry is facing serious challenges in LWR control at the node of 16nm feature size. One of the reasons that causes LWR is the acid diffusion during post-exposure bake of chemically amplified resists. Laser spike annealing was introduced as a post-exposure bake (PEB) step in order to solve the image blurring problem by rapidly heating up a resist system to hundreds of degrees and completing the PEB in the millisecond time frame. However, lacking detailed knowledge of chemistry and kinetics for laser PEB (l-PEB) becomes an obstacle for resist design. The photoresist we synthesized with high deprotection activation energy and low diffusion activation energy has proved to help reduce LER for l-PEB. This photoresist was compared to another classical DUV model resist in order to analyze the benefits of its chemical structure and reaction kinetics. The interpretation of LER and activation energy will help us to identify better possible resist materials for l-PEB.
PEB to development delay influence on contact patterning by negative tone development process
Negative tone development (NTD) process benefits the process latitude of dark field features such as contact holes and isolated trenches. Thus the NTD process has been a viable manufacture solution for aggressive-pitch contact patterning. Because the NTD process adopts organic solvent as developer to dissolve the de-protected photo-resist, it may conflict with conventional positive tone development on maximizing the photocell utilization. In the manufacturing implementation of NTD process, the off-line development is the most commonly adopted arrangement to maximize the flexibility of photocell dispatch. Therefore the post exposure bake to development delay (PEBDD) is a concern for thorough investigation to deliver robust NTD process. In this paper, PEBDD induced CD shrinkage was investigated for contact printing to explore the possible mechanism. The resist comparison, ambient contamination verification, baking temperature split of photo-resists were conducted for comparing the PEBDD effect. The experimental results suggested the photo acid concentration and photo acid diffusion are two most critical factors for PEBDD effect. Through the understanding of critical factors for PEBDD, the suitable operation on mitigating PEBDD influence as well as adequate queue time setting were proposed for robust process control.
Poster Session: Novel Materials
icon_mobile_dropdown
Novel ArF photoresist polymer to suppress the roughness formation in plasma etching processes
Keisuke Kato, Atsushi Yasuda, Shin-ichi Maeda, et al.
The serious problem associated with 193-nm lithography using an ArF photoresist is roughness formation of photoresist polymer during plasma processes. We have previously investigated the mechanism of roughness formation caused by plasma. The main deciding factor for roughness formation is a chemical reaction between photoresist polymer and reactive species from plasma. The lactone group in photoresist polymer is highly chemically reactive, and shrinking the lactone structure enhances the roughness formation. In this paper, on the basis of the mechanism of roughness formation, we propose a novel ArF photoresist polymer. The roughness formation was much more suppressed in the novel photoresist polymer during plasma etching process than in the previous type. In the novel photoresist polymer, chemical reactions were spread evenly on the photoresist film surface by adding the polar structure. As a result, decreases in the lactone group were inhibited, leading to suppressing ArF photoresist roughness.
EUV lithography using water-developable resist material derived from biomass
Satoshi Takei, Akihiro Oshima, Tomoko G. Oyama, et al.
A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.
Polarization selective photoresist based on reactive liquid crystals doped with a dichroic photoinitiator
We developed a polarization-selective negative photoresist based on a smectic B liquid crystal monomer host functionalized with a dichroic photoinitiator. The smectic phase enables high-order parameter uniaxial alignment of the monomer host molecules. It is shown that the dichroic initiator aligns with the host which provides the polarization selectivity upon UV initiation of the polymerization of the monomer system. The polymerization contrast with respect to its sensitivity for polarized UV light can become infinitely high by the addition of an inhibitor. We tested the new lithographic material for its application in polarization holography. These experiments show that the polymerization contrast can be translated into the formation of well-defined structures but require further optimization.
Development of new xanthendiol derivatives applied to the negative-tone molecular resists for EB/EUVL
Masatoshi Echigo, Masako Yamakawa, Yumi Ochiai, et al.
In this paper, we report the development of new xanthendiol derivatives applied to the negative-tone molecular resists for EB/EUVL. The new xanthendiol derivatives were easily synthesized by the condensation of aldehydes and dihydroxyaromatic compounds. We found 13-biphenyl-13H-benzoxanthen-3,10-diol was showed the good applicability to the raw material for the resist for EB/EUVL. The EB patterning result showed the resist containing xanthendiol derivative could resolve the 30 nm half-pitch pattern. Furthermore sub 30 nm half-pitch patterns were partially resolved.
High scan speed EBL containing contact hole resists with low defectivity
Tsung Ju Yeh, Lian Cong Liu, Yeh-Sheng Lin, et al.
In the previous paper we discussed the relationship between blob defect count and the receding angle of a resist surface after development with an alkaline developer solution. This paper summarizes additional test results from our continued efforts in developing next generation embedded barrier layer (EBL) materials that render a resist film with even higher receding angle to further facilitate high speed and high acceleration scanning. How to reach a higher receding angle without sacrificing a low post development receding angle is also discussed in this paper. The ability for an EBL material to switch from a high receding angle to a receding angle of lower than 20° upon development is considered a very important attribute of an EBL, which is the key to reduce blob defect count by ensuring good dynamic wetting of a resist surface to DI water during a post development rinsing step. Resist formulations with different receding angles were studied for lithography performance and defectivity under different process conditions with varying wet processes. Both good lithography performance and low defectivity were obtained for contact hole resists including those with a surface receding angle of 78°.
New negative resist design with novel photo-base generator
Wen-Yun Wang, Cheng Han Wu, Yu-Chang Su, et al.
An alternate negative tone resist is investigated for advanced lithography. Unlike conventional negative tone development (NTD) resists developed with organic solvent, this negative resist use TMAH as its developer. Thermal acid generator (TAG) and photo base generator (PBG) are proposed for this resist. PBG decomposes and generates alkali at the exposed area and neutralizes the acid from TAG. Hence, positive resist can produce negative tone image (NTI), and gain better optical contrast than positive tone imaging. The new negative resist reported in this paper also shows better resolution than conventional negative resist. Several optimization studies are also reported. In addition, major limitations on further improving resist resolution are also pointed out in this paper. The solution proposed has been proven workable from experimental results. This opens the possibility to combine better optical contrast from NTI, high resist resolution from positive resist resin, and better development contrast from TMAH solution.
Electron dose reduction through improved adhesion by cationic organic material with HSQ resist on an InGaAs multilayer system on GaAs substrate
Wilfried Erfurth, Andrew Thompson, Nezih Ünal
This paper presents the findings of a cationic surface active agent used to promote adhesion on an InGaAs multilayer system on GaAs. The improved adhesion of the HSQ resist allowed the electron exposure dose to be reduced by a factor of four, and enabled the production of features sizes down to 30nm. Moreover, the process latitude is greatly increased for both small and large lithographic features.
Postiive tone resists based on network deploymerization of molecular resists
Richard A. Lawson, Jing Cheng, Ameneh Cheshmehkani, et al.
Conventional chemically amplified resists have several issues that can potentially limit their capability for sub-40 nm imaging. One of the major issues at this size scale is that the mechanical strength of positive tone CARs limits the amount of stress they can withstand during development, rinse, and drying, thus leading to problems with pattern collapse due to the high capillary forces generated during drying. This problem is exasperated by the fact that linear polymers show dramatically reduced modulus at sub-50 nm features sizes. To improve on this problem, we have made a positive tone resist based on network depolymerization of molecular resists. The resist thermally cross-links after being spin cast into thin film form through reactions between vinyl ether groups and carboxylic acid groups. By cross-linking the resist to form a dense three dimensional polymer network, the mechanical strength of the resist is greatly improved compared to linear polymers. The network is depolymerized using an acid catalyzed reaction to create development contrast that allows for patterning of the resist via development in either aqueous base or organic solvent. One drawback of the current resist design is that the free carboxylic acids on the resist molecule appear to react in solution at room temperature with both the vinyl ether groups on adjacent molecules and with any added base quencher. These reactions cause reduced effectiveness of the base quencher and produce a noticeable resist shelf life problem. Despite these limitations, the material was used to compare the effect of development in aqueous base versus organic solvent. The resist formulated in this work showed a DUV sensitivity of 7 mJ/cm2 and a contrast of 5.2 for development in either solvent or aqueous base. Under 100 keV e-beam imaging, the material showed 40 nm resolution for both development types. In standard 0.26 N TMAH, the dose-to-size was 84 μC/cm2 with 3σ LER of 14.2 nm. Using methyl isobutyl ketone for organic solvent development, the dose-to-size was 104 μC/cm2 with 3σ LER of 7.4 nm.
Poster Session: Novel Processing
icon_mobile_dropdown
Fabrication of optical film derived from biomass using eco-friendly nanoimprint lithography
Satoshi Takei, Gaku Murakami, Yuto Mori, et al.
This paper presents the chemical design and fabrication of optical film derived from biomass using eco-friendly nanoimprint lithography. The optical film derived from biomass with subwavelength structures was successfully demonstrated in specific desired properties. The linearly polymerized polysaccharide in optical film derived from biomass indicated two effects of high concentration of acrylate group in the side-chain terminus for highly sensitivity, and the ring components containing bulky disaccharide for suitable optical properties. The optical film derived from biomass is applicable to future development of antireflective material with subwavelength structures and suitable refractive index material as a chemical design concept.
High chi polymer development for DSA applications using RAFT technology
Michael T. Sheehan, William B. Farnham, Hoang V. Tran, et al.
Directed self-assembly (DSA) of block copolymers is proving to be an interesting and innovative method to make three-dimensional periodic, uniform patterns useful in a variety of microelectronics applications. Attributes critical to acceptable DSA performance of block copolymers include molecular weight uniformity, final purity, and reproducibility in all the steps involved in producing the polymers. Reversible Addition Fragmentation Chain Transfer (RAFT) polymerization technology enables the production of such materials provided that careful process monitoring and compositional homogeneity measurement systems are employed. It is uniquely suited to construction of multiblocks with components of widely divergent surface energies and functionality. We describe a high chi diblock system comprising partially fluorinated methacrylates and substituted styrenics. While special new polymer separation strategies involving controlled polymer particle assembly in liquid media are required for some monomer systems and molecular weight regimes, we have been able to demonstrate high yield and compositionally homogeneous diblocks of lamellar and cylindrical morphology with polydispersities < 1.1. During purification processes, these diblock materials undergo assembly processes in liquid media, and with appropriate controls, this allows for removal of soluble homopolymer contaminants. SAXS analyses of solid polymer samples provide estimates of lamellar d-spacing, and a good correlation with molecular weight is shown. This system will be described.
Release of MEMS devices with hard-baked polyimide sacrificial layer
Removal of polyimides used as sacrificial layer in fabricating MEMS devices can be challenging after hardbaking, which may easily result by the end of multiple-step processing. We consider the specific commercial co-developable polyimide ProLift 100 (Brewer Science). Excessive heat hardens this material, so that during wet release in TMAH based solvents, intact sheets break free from the substrate, move around in the solution, and break delicate structures. On the other hand, dry reactive-ion etching of hard-baked ProLift is so slow, that MEMS structures are damaged from undesirably-prolonged physical bombardment by plasma ions. We found that blanket exposure to ultraviolet light allows rapid dry etch of the ProLift surrounding the desired structures without damaging them. Subsequent removal of ProLift from under the devices can then be safely performed using wet or dry etch. We demonstrate the approach on PECVD-grown silicon-oxide cantilevers of 100 micron × 100 micron area supported 2 microns above the substrate by ~100-micron-long 8-micron-wide oxide arms.
Poster Session: Manufacturing Considerations
icon_mobile_dropdown
The effects of reduced resist consumption process conditions on total raw defects, line and space defects and single line open defects at the 20nm node
Christos F. Karanikas, Jeong Soo Kim
Reduced resist consumption (RRC) process is used to reduce chemical shot sizes by 75% or more. However, as we move to smaller technology nodes, the impurities in RRC solvents are becoming increasingly more problematic. In this study, a series of experiments were conducted to reduce total defectivity via RRC process optimization. The 20nm metal layer is optimized for defect reduction at the OPL/BARC interface. This study looks at the effects of no RRC, static RRC dispense condition, dynamic RRC dispense condition, the number of RRC puddles, puddle times and post apply bake (PAB) temperatures. Overall, a 45% reduction in total raw defects is achieved over current production recipes. The reduction, however, comes at the cost of an increase in line and space defects and single line open (SLO) defects. The line and space defects increased by 62% and the SLO defects had a 40% increase.
Point-of-use filter membrane selection, start-up, and conditioning for low-defect photolithography coatings
Nick Brakensiek, Michael Cronin
Recent innovations in device design, including FinFETs and metal gate technologies, have required similar innovation in lithographic materials and process development. Complex processes such as double patterning and multilayer imaging require new and novel material chemistries to meet the rigorous defect level requirements for successful yield. To address these complex processes, new materials for multilayer imaging, including spin-on hardmask layers and thick carbon underlayers, have been introduced. These two types of materials have different roles in the multilayer imaging scheme, and likewise the chemistries that are used in these materials are different. To evaluate the wide variety of materials, it is necessary to be able to install them on a coater-track quickly and efficiently and to ensure that the chosen filter uses the best available filtration settings to provide the best-performing material. Typically end users of point-of-use filters will install a new filter, which will be primed with the best-known method, and purge chemical until a defect baseline is reached. This study examines the interaction between a spin-on hardmask chemistry and membrane materials, examining decreasing pore size and the differential pressure increases. Under these conditions, known issues with particles, microbubbles, or oddly timed defect excursions should be able to be avoided with the proper selection and start-up of the filter. An Entegris IntelliGen® Mini dispense system with Impact® 2 filters was used to test different filtration settings on various filtration membranes and determine the best settings for each membrane type. These pumps have the capability to control differential pressure across the filter based upon its operating parameters. Results of this investigation will show that for the spin-on hardmask material, optimizing differential pressure across the filter by adjusting the IntelliGen® Mini operating parameters will ultimately reduce blanket coat defect levels. As well, reducing pore size yields a greater impact to reduction of post-coat defect counts.
Strategy for yield improvement with sub-10nm photochemical filtration
J. Braggin, C. Brodsky, M. Linnane, et al.
Process and equipment engineers are always seeking ways to improve yield quickly and efficiently, especially on newly developing processes. These engineers have many tools at their disposal – equipment enhancements, software upgrades, and materials improvements. Many of these tools come from OEMs (other equipment suppliers) and materials suppliers who all benefit from close collaboration with IDMs to improve yield. This paper will discuss the strategies utilized to improve yield on 32 nm BEOL (back end of line) lithography processes with sub-10 nm photochemical filtration. This collaboration generated electrical yield data that validated the performance of several sub-10 nm photochemical filters on various resist and ancillary chemicals used in a tri-layer stack. Examples of yield enhancement include the use of 5 nm UPE (ultra high molecular weight polyethylene) in OPL (optical planarizing layers) which showed a 69% improvement in overall median yield for an OPL material used in the first metallization layer, and a 26% improvement for a second OPL material used in subsequent metallization processes . In addition, this paper will present data studying pre-wetting of a 5 nm point-of-use filter before track installation. Building on the success of this collaboration, an example filtration roadmap is also explored to show the benefits of using advanced filtration in 32 nm technologies and beyond.
Effects of dispense equipment sequence on process start-up defects
Photofluid dispense systems within coater/developer tools have been designed with the intent to minimize cost of ownership to the end user. Waste and defect minimization, dispense quality and repeatability, and ease of use are all desired characteristics. One notable change within commercially available systems is the sequence in which process fluid encounters dispense pump and filtration elements. Traditionally, systems adopted a pump-first sequence, where fluid is “pushed through” a point-of-use filter just prior to dispensing on the wafer. Recently, systems configured in a pump-last scheme have become available, where fluid is “pulled through” the filter, into the pump, and then is subsequently dispensed. The present work constitutes a comparative evaluation of the two equipment sequences with regard to the aforementioned characteristics that impact cost of ownership. Additionally, removal rating and surface chemistry (i.e., hydrophilicity) of the point-of-use filter are varied in order to evaluate their influence on system start-up and defects.