Proceedings Volume 8325

Advances in Resist Materials and Processing Technology XXIX

cover
Proceedings Volume 8325

Advances in Resist Materials and Processing Technology XXIX

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 27 April 2012
Contents: 16 Sessions, 75 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2012
Volume Number: 8325

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 8325
  • Keynote Session
  • Negative Tone Processing
  • EUV: Joint Session with Conference 8322
  • Optical Extensions
  • Simulation of Resist Processes
  • Resist Fundamentals
  • Novel Materials and Processing I
  • Lithography at the Intersection of Optics and Chemistry: Joint Session with Conference 8326
  • EUV Materials, Processing, and Analysis
  • Novel Materials and Processing II
  • Poster Session: EUV Materials
  • Poster Session: Fundamentals
  • Poster Session: Novel Resist Materials
  • Poster Session: Novel Processing
  • Poster Session: Defectivity and Manufacturing
Front Matter: Volume 8325
icon_mobile_dropdown
Front Matter: Volume 8325
This PDF file contains the front matter associated with SPIE Proceedings Volume 8325, including the Title Page, Copyright information, Table of Contents, Introduction (if any), and the Conference Committee listing.
Keynote Session
icon_mobile_dropdown
Factors that determine the optimum dose for sub-20nm resist systems: DUV, EUV, and e-beam options
As EUV and e-beam direct write (EBDW) technologies move closer to insertion into pilot production, questions regarding cost effectiveness take on increasing importance. One of the most critical questions is determining the optimum dose which balances the requirements for cost-effective throughput vs. imaging performance. To date most of the dose requirements have been dictated by the hardware side of the industry. The exposure tool manufacturers have a vested interest in specifying the fastest resists possible in order to maximize the throughput even if it comes at the expense of optimum resist performance. This is especially true for both EUV and EBDW where source power is severely limited. We will explore the cost-benefit tradeoffs which drive the equipment side of the industry, and show how these considerations lead to the current throughput and dose requirements for volume production tools. We will then show how the resulting low doses may lead to shot noise problems and a resulting penalty in resist performance. By comparison to the history of 248 nm DUV resist development we will illustrate how setting unrealistic initial targets for resist dose may lead to unacceptable tradeoffs in resist performance and subsequently long delays in the development of production worthy resists.
Negative Tone Processing
icon_mobile_dropdown
Optical performance comparison between negative tone development and positive tone development
Seung-Hune Yang, Eun Sung Kim, Seongho Moon, et al.
A negative tone development (NTD) process has been considered as apromising candidate for the smaller contact solution due to the remarkable image quality over a positive tone develop (PTD) process. However, it has not been investigated why NTD has higher optical performance than PTD yet. In this paper, image log slope (ILS) and mask error enhancement factor (MEEF) of binary and phase shift masks (PSM) are investigated with considering mask bias, target critical dimension (CD) and pattern pitch. It is found that the irradiance slope is steep and wafer CD variation from mask CD variation is small when the target CD is relatively smaller than pattern pitch. Mathematical model is derived to analyze image quality of binary mask and PSM.Three-dimensional mask effect is also considered with rigorous simulation.
Functional resist materials for negative tone development in advanced lithography
Shinji Tarutani, Kana Fujii, Kei Yamamoto, et al.
Challenges of lithography performance, dry etch resistance, and substrate dependency in resist materials dedicated to negative tone development (NTD) process were studied. The gamma-parameter in contrast curve was increased to achieve improvement in lithography performances, and CD-uniformity (CDU), DOF, and circularity of dense C/H pattern were studied for the resist material. Ohnishi-parameter of de-protected polymer was decreased to improve dry etch resistance, and dissolution property and lithography performance were studied to look at maturity of materials. Formulation dependency on pattern collapse property on spin-on-type Si-hard mask (Si-HM) were studied, and material property to suppress pattern collapse was discussed.
Assessment of negative tone development challenges
Sohan Singh Mehta, Yongan Xu, Guillaume Landie, et al.
The objective of this work is to describe the advances in 193nm photoresists using negative tone developer and key challenges associated with 20nm and beyond technology nodes. Unlike positive tone resists which use protected polymer as the etch block, negative tone developer resists must adhere to a substrate with a deprotected polymer matrix; this poses adhesion and bonding challenges for this new patterning technology. This problem can be addressed when these photo resists are coated on anti-reflective coatings with plentiful silicon in them (SiARC), which are specifically tailored for compatibility with the solvent developing resist. We characterized these modified SiARC materials and found improvement in pattern collapse thru-pitches down to 100nm. Fundamental studies were carried out to understand the interactions between the resist materials and the developers. Different types of developers were evaluated and the best candidate was down selected for contact holes and line space applications. The negative tone developer proximity behavior has been investigated through optical proximity correction (OPC) verification. The defectivity through wafer has been driven down from over 1000 adders/wafer to less than 100 adders/wafer by optimizing the develop process. Electric yield test has been conducted and compared between positive tone and negative tone developer strategies. In addition, we have done extensive experimental work to reduce negative tone developer volume per wafer to bring cost of ownership (CoO) to a value that is equal or even lower than that of positive tone CoO.
Evolution of negative tone development photoresists for ArF lithography
Michael Reilly, Cecily Andes, Thomas Cardolaccia, et al.
The negative tone development process enables the printing of dark field features on wafer using bright field masks with a manufacturing capability for back-end-of-line processing. The performance of NTD photoresist has advanced along two fronts: namely common process window for dense and semi-dense contacts and the resolution and line width roughness of isolated trenches. Furthermore, the chemistry has evolved by the convergence of capability for printing line/space and contact hole using a single photoresist formulation. The process performance of a series of NTD photoresist is reported. Particular focus is placed on process latitude, CDU, thickness control, LWR and resolution limit.
EUV: Joint Session with Conference 8322
icon_mobile_dropdown
Optimization of low-diffusion EUV resist for linewidth roughness and pattern collapse on various substrates
James W. Thackeray, James F. Cameron, Michael Wagner, et al.
This paper will report on our development of low diffusion EUV resists based on polymer-bound PAG technology. With our low diffusion resist, a wide process window for 30-nm hp of 280nm DOF over a 10% exposure range is achieved on a prototype ADT fullfield scanner. Linewidth roughness of 3.1nm is also achieved. Excellent resist profiles can be achieved on organic ULs or Si hardmask materials. This resist also shows only 1.1 nm carbon growth on witness plate mirrors for cleanables, and no reflectivity loss after mirror cleaning. These results clearly pass for use on all NXE exposure tools. We also have shown good pattern transfer for a Si HM stack using this resist. Finally, we report 17-nm hp resolution at a dose of 14.5mj for a higher absorption resist.
EUV resist materials for 20nm and below half-pitch applications
Hideaki Tsubaki, Shinji Tarutani, Hiroo Takizawa, et al.
A series of polymer with different hydrophobicity have been synthesized to investigate effects of contact angle on ultimate resolution. Contact angle of these polymers was widely changed by utilizing polymers having a different chemical structure and protection ratio. It is revealed that resolution of 20 nm half-pitch (hp) was limited by pattern collapse and improved by increasing contact angle of polymer under E-beam exposure. It is noteworthy that resolution of 20 nm hp and below for current EUV resists with exposures on a NXE:3100 and a micro-field exposure tool (MET) at SEMATECH Berkeley was also limited by pattern collapse. Low diffusion character of current EUV resists with controlled PAG design, especially polymer bound PAG (PBP), suppressed degradation of resolution by chemical blur. Combination of high contact angle polymer with low diffusivity PAG showed resolution of 18 nm hp using a MET at SEMATECH Berkeley with a LWR of 2.6 nm, sensitivity of 20 mJ/cm2, and Z-factor of 3.9 × 10-9 mJnm3. Unfortunately density of blob defect for the hydrophobic polymer was above 100 counts/cm2. Hydrophobicity of film surface was found to be a main cause of its poor defectivity. Adding the new EUV additive to the hydrophobic resist reduced density of blob defect from 100 counts/cm2 to below 0.1 counts/cm2.
EUV resist development for 16nm half pitch
Ken Maruyama, Hiroki Nakagawa, Shalini Sharma, et al.
In order to resolve 16 nm half pitch and beyond upon EUV exposure, we have developed new materials for not only resists but also for under layer materials. As for resist, short acid diffusion length photo-acid generator (PAG) was developed for high resolution. As for under layer, new material with high contact angle (CA) improved line collapse margin towards printing of minimum feature size. It was found that CA of under layer was one of the important factors for resolution improvement. Furthermore, effect of development time was investigated to improve resolution. Short development time gained resolution improvement compared with long one. Finally, combination of these results was investigated. As a result, JSR EUV resist showed the potential of 15nm half pitch resolution.
Optical Extensions
icon_mobile_dropdown
Overview: continuous evolution on double-patterning process
Double Pattering process is one of the most promising lithography techniques for sub-40nm half-pitch technology node. Especially, Self-aligned spacer Double Patterning (SADP) has been adopted in HVM of NAND FLASH memory device, and it is expanding to employ in DRAM and logic device. If EUVL should not be ready on time, the industry will likely further extend DP to multiple patterning. Our proposed photo-resist core SADP has wide extendibility to Self-aligned Pitch-Tripling (SATP) and Pith-Quadrupling (SAQP) achieved 11nm hp as introduced in last SPIE[1]. PR-core technique will be most friendly for lithographer, because its property can be recognized on lithography view point. ALD (Atomic Layer deposition) SiO2 process is the one of unique technique for multiple-patterning, and it is also useful for pitch-doubling in hole pattern [2]. Beside the invention of novel technical solutions, Double-patterning process is evolving steadily and its applicability is widened. In this study, we would demonstrate newly developed multi-patterning techniques and optimize CD-uniformity, LWR and process latitude.
CD uniformity improvement on the self-aligned spacer double-patterning process by resist material modification
Katsumi Ohmori, Naoto Motoike, Hidetami Yaegashi, et al.
Double patterning techniques (DPT) with 193nm immersion lithography are being thought to be one of the most promising candidates for the 22nm node and beyond. Especially, self-aligned spacer double patterning (SADP) has already been established as pitch doubling process and adapted in high volume manufacturing of NAND flash memory device. Moreover, ultra fine resolution can be obtained to repeat the SADP step twice as pitch quadrupling. Simple cost effective SADP scheme which is resist core SADP process has already been demonstrated to obtain not only simple line and space patterning also trench and 2D patterning as well by Tokyo Electron LTD.[1, 2, 3] In this process, a SiO2 spacer film is being directly formed on a tri-layer resist stack. This pattern is then transferred onto an underlying spin-on carbon (SOC) film and the final pattern is resolved on the TEOS film. Roughness and verticalness of resist pattern could affect the quality of SiO2 spacer film deposition and it could determine the CD uniformity of final pattern. Therefore, it's been thought that low line-width roughness (LWR) resist pattern and vertical resist profile make a better CD contribution and uniformity on final pattern. Experimental results on SADP process will be shown and then it'll be discussed that specially designed resist materials which indicates small LWR and vertical profile could have a potential to improve CD uniformity after pitch splitting SADP process.
SADP for BEOL using chemical slimming with resist mandrel for beyond 22nm nodes
Linus Jang, Sudhar Raghunathan, E. Todd Ryan, et al.
The fundamental limits of optical lithography have driven semiconductor processing research to push the envelope. Double patterning (DP) techniques including litho-etch litho-etch (LELE), litho-litho etch (LLE), and self-aligned double patterning (SADP) have become standard vernacular for near term semiconductor processing as EUV is not yet ready for high volume production. The challenge, even with techniques like LLE and SADP, remains that printing small lines on tight pitches (for LLE) or even small lines on relaxed pitches for mandrel/spacer combinations is not trivial. We have demonstrated a track-based slimming technique that can produce sub-25 nm resist lines for either SADP or LLE DP processes. Our work includes results for varying shrink amounts at different target critical dimensions (CD) and for multiple pitches. We also investigated CD uniformity (CDU) and defectivity. In particular, optimization of the amount of slimming is critical as it allows for much greater process latitude at the lithography step. In addition to the lithography work, we have continued the processing for both integration schemes to include oxide deposition and etch for SADP and through etch performance for DP. We have demonstrated sub 45 nm pitch structures. The wide variety of process uses, as well as the ability to achieve a large range of shrink amounts shows that track based slimming is a viable solution to achieve target CD and pitch values for sub 22 nm technology node.
Comparison of directed self-assembly integrations
Directed Self-Assembly (DSA) is gaining momentum as a means for extending optical lithography past its current limits. There are many forms of the technology, and it can be used for creating both line/space and hole patterns.1-3 As with any new technology, adoption of DSA faces several key challenges. These include creation of a new materials infrastructure, fabrication of new processing hardware, and the development of implementable integrations. Above all else, determining the lowest possible defect density remains the industry's most critical concern. Over the past year, our team, working at IMEC, has explored various integrations for making 12-14nm half-pitch line/space arrays. Both grapho- and chemo-epitaxy implementations have been investigated in order to discern which offers the best path to high volume manufacturing. This paper will discuss the manufacturing readiness of the various implementations by comparing the process margin for different DSA processing steps and defect density for the entirety of the flow. As part of this work, we will describe our method for using programmed defectivity on reticle to elucidate the mechanisms that drive self-assembly defectivity on wafer.
Simulation of Resist Processes
icon_mobile_dropdown
Application of stochastic modeling to resist optimization problems
BACKGROUND: Modifying specific resist properties or isolating a particular resist response can be difficult or impossible in experiments. At EUV, tool time is limited and expensive, complicating access to experimental data. Computer modeling can help to mitigate these difficulties, allowing researchers to reduce or better focus the nature of actual experiments. METHODS: We apply stochastic simulation to the study of chemically-amplified resists at EUV. The model is calibrated to experimental data; the agreement between data and simulation are compared using RLS triangles. Using the calibrated model as a representation of the initial condition, we attempt to improve virtual resist performance by decreasing acid diffusivity rate, increasing quencher loading and by replacing conventional quencher with photo-decomposable base (PDB). The effect of PDB upon the virtual resist is further investigated. RESULTS: Virtual resist performance improved by lowering acid diffusivity, by increasing quencher loading and by replacing conventional quencher with photo-decomposable base (PDB). The net improvements observed are a 17% increase in EL and a 13% reduction in LER compared to the initial condition. PDB may offer a path to reduce resist roughness up to 20%, by allowing higher loading density than conventional quenchers and relaxing the acidic quantum yield required to achieve acceptable roughness. Using the simulator to isolate a specific response, PDB acts to improve the chemical contrast and reduce the chemical noise in the blocked polymer concentration after PEB.
Correlated surface roughening during photoresist development
BACKGROUND: Previous simulation work has shown that uncorrelated Gaussian randomness in the development rate produces surface roughness in a resist that obeys Family-Viscek scaling in the KPZ universality class. However, more rigorous mesoscale simulations produce anomalous scaling. METHODS: Using a stochastic resist simulator, the dynamical roughness behavior of resist development in 2D is studied with various amounts of correlation in the underlying development rate randomness. RESULTS: For length scales greater than about 5ξ (the correlation length of the underlying randomness), the dynamical roughness behavior obeys standard Family-Viscek scaling within the KPZ universality class. For length scales on the order of a few ξ or less, the mixed correlations of both ξ and ξ|| make the results anomalous. CONCLUSIONS: It appears that correlations can explain at least some of the anomalous scaling behavior observed previously through the use of mesoscale simulations. Simple scaling relationship can still apply, however, over appropriate length scales.
Mesoscale simulation of the line-edge structure during positive and negative tone resist development process
Recent studies have shown that the semiconductor industry is seeking the possibility of utilizing both positive tone photoresist development (PTD) and negative tone photoresist development (NTD) to pursue ultimate pattern resolution. In particular, a minimal line edge roughness (LER) is one of the key performance indicators. Our current work is aimed at studying mechanisms of LER generation by simulating dynamics of polymer molecules in NTD using a meso-scale simulation technique called Dissipative Particle Dynamics (DPD). In DPD method, several neighboring monomers in a polymer chain are represented by one DPD particle with soft interaction potentials to accelerate calculation of polymer dynamics. In our previous studies, we performed virtual lithography experiments to study the molecular level polymer configuration, and investigated the polymer dissolution rate and the resulting LER generation. In the current work, in order to make this simulation method more practical for resist polymer design, we develop a method to tune the model parameters by calibrating to the experimental data obtained by development of actual resist polymers.
Line-pattern collapse mitigation status for EUV at 32nm HP and below
Line pattern collapse (LPC) becomes a critical concern as integrated circuit fabrication continues to advance towards the 22 nm node and below. Tokyo Electron Limited (TEL) has been investigating LPC mitigation methods for many years [1]. These mitigation methods include surfactant rinses to help reduce surface tension and Laplace pressures forces that accompany traditional DIW rinses. However, the ability to explore LPC mitigation techniques at EUV dimensions is experimentally limited by the cost and availability of EUV exposures. With this in mind, TEL has adopted a combined experimental and simulation approach to further explore LPC mitigation methods. Several analytical models have been proposed [2, 3, 4] for a LPC simulation approach. However, the analytical models based on Euler beam theory are limited in the complexity of profile and material assumptions. Euler beam based models are also now questionable because they are outside the beam theory's intended aspect ratio regime [5]. The authors explore the use of finite element models in addition to Euler beam theory based models to understand resist collapse under typical EUV patterning conditions. The versatility of current finite element techniques allows for exploration of resist material property effects, profile and geometry effects, surface versus bulk modulus effects, and rinse and surfactant rinse effects. This paper will discuss pattern-collapse trends and offers critical learning from this simulation approach combined with experimental results from an EUV exposure system and TEL CLEAN TRACK ACTTM 12 platform, utilizing state of the art collapse mitigation methods.
Simultaneous calibration of acid diffusion and developer loading parameters for computational lithography
Resist parameters for computational lithography model were extracted from a set of gratings. The gratings comprised of lines and spaces where the main feature proximity was modulated by placement of sub and near resolution assist features. Modulating the size of the assist features resulted in simultaneous variation of the amount of photo-acid and developer loading. The straight Gaussian kernel was modified to represent the effect of base quencher to the photo-acid. An additional density based kernel was created to represent the effect of developer loading. These kernels allowed for significant improvement in fitting error. The models were validated against an independent data-set comprised of asymmetric features.
Resist Fundamentals
icon_mobile_dropdown
Investigation of acid diffusion during laser spike annealing with systematically designed photoacid generators
Marie Krysak, Byungki Jung, Michael O. Thompson, et al.
Chemically amplified resists (CARs) are the current workhorse for photolithography, where higher resolution and smaller feature size represent a continual driving force for the semiconductor industry. As the feature size decreases to sub-30 nm, LWR and gate critical dimension (CD) control become serious concerns. In order to reach the goals in the ITRS, an unprecedented level of control of photoacid diffusion while maintaining the high resist sensitivity and resolution during image formation is required. CARs require a post exposure bake (PEB), typically performed on a hot plate at 90-150°C for 30-120 seconds, to complete the resist deprotection after photoacid generation. This bake step is a primary influence on resist performance as the time/temperature profile controls both the diffusion of photogenerated acids and the deprotection of the resist backbone. Sufficient time must be provided to achieve the level of deprotection required for the solubility switching in a developer, but the seconds timeframe of conventional hot plate PEB leads to an undesirable amount of acid diffusion. As long as the activation energy of diffusion is less than that for deprotection, higher temperatures for optimized time durations will result in reduced diffusion. However, traditional hot plate PEB cannot access times shorter than a few seconds. We utilize a laser (CO2) based scanned heating system to achieve sub-millisecond to milliseconds in heating durations with temperatures up to the thermal decomposition limit of the resist. This research is aimed at using synthetic techniques to vary the structure of the photoacid generator (PAG) in order to learn about the role of PAG size and structure on acid diffusion during sub-millisecond heating. A variety of PAGs with different anion sizes have been synthesized and tested on the CO2 laser system, and their lithographic performance and effect on acid diffusion has been studied.
Deprotection reaction kinetics in chemically amplified photoresists determined by sub-millisecond post exposure bake
Byungki Jung, Christopher K. Ober, Michael O. Thompson
Chemically amplified photoresists require a post exposure bake (PEB) to induce deprotection using a UV generated acid-catalyst. While reaction pathways for deprotection have been proposed, key challenges remain in modeling the reaction kinetics. In this work, we used a scanning line-focused laser beam as an alternate PEB method, to quantify the deprotection reaction kinetics of an ESCAP-type and a 193 nm model resist system at high temperatures in millisecond time frames. Results were compared with conventional PEB at 115°C for seconds time frames. Results show that the deprotection kinetics follow simple first-order reaction models only under laser PEB conditions, with more complex kinetics observed under hot plate PEB. FT-IR and NMR spectroscopies were used to characterize the reaction byproducts. Results suggest potential differences in deprotection mechanisms between the two PEB temperature and time regimes. The deprotection behavior obtained using this l-PEB technique enables a deeper understanding of the reaction kinetics of photoresists, critical for current DUV and future EUV technologies.
Contrast improvement with balanced diffusion control of PAG and PDB
S. F. Chen, L. L. Chang, Y. H. Chang, et al.
For semiconductor manufacturing of k1<0.3 half pitch, immersion lithography is still indispensable for process development and production. As the minimum feature size reaches the resolution limit, many resolution enhancement techniques and processes are developed to meet the stringent imaging requirements. Since the optical contrast is not sufficient for low-k1 application, the optimizing conditions for DOF, MEEF, LWR, 2D features, top-view profile, and defect become more challenging than ever for manufacturing. The low-k1 induced poor ADI (after development inspection) end-to-end profile is deleterious to pattern fidelity that may further impact the AEI (after etching inspection). From a previous study, the photo-decomposed base (PDB) has been proven effective in enhancing the resist contrast and improving the DOF from conventional quenchers. In this paper, we study its further improvement on litho performance by controlling the diffusion lengths of the PAG and the PDB. We split the polarity and size of the PAG and PDB to control the diffusion length. The top view profile is improved from rounding to vertical if a longer diffusion length of the PDB is selected. The scattering bar printing window can also be improved in such a condition. If the PAG and the PDB have better matching controls, the MEEF, LWR, CDU, and end-to-end top view profile are improved as shown in Fig.1.
An in situ analysis of the resist pattern formation process
In this paper, the 'pattern formation' characteristics of a polyhydroxystyrene (PHS)-acryl hybrid extreme ultraviolet (EUV) resist was investigated using a high speed atomic force microscope. This analysis covers the dissolution or development, rinse and drying processes that are conventionally applied after EUV exposure and post exposure bake. As a result, it was found that during the development process, the EUV-exposed resist film exhibited swelling characteristics. This was assumed to be the effect of the balance / imbalance in dissolvability introduced by the hydrophobic acryl and hydrophilic PHS components of this hybrid resist. During the rinse process with de-ionized water, the critical dimension (CD) of the resulting line pattern after development was observed to increase with rinse time. This was attributed to a possible permeation of water into the resist line pattern causing the CD to swell. Lastly, for the drying process, the same line pattern CD was found to shrink similar to pre-rinse sizes and taper at the top edges. This suggests evaporation of the rinse water imbedded into the resist line pattern.
Understanding dissolution behavior of 193nm photoresists in organic solvent developers
Seung-Hyun Lee, Jong Keun Park, Thomas Cardolaccia, et al.
Herein, we investigate the dissolution behavior of 193-nm chemically amplified resist in different organic solvents at a mechanistic level. We previously reported the effect of solvent developers on the negative tone development (NTD) process in both dry and immersion lithography, and demonstrated various resist performance parameters such as photospeed, critical dimension uniformity, and dissolution rate contrast are strongly affected by chemical nature of the organic developer. We further pursued the investigation by examining the dependence of resist dissolution behavior on their solubility properties using Hansen Solubility Parameter (HSP). The effects of monomer structure, and resist composition, and the effects of different developer chemistry on dissolution behaviors were evaluated by using laser interferometry and quartz crystal microbalance. We have found that dissolution behaviors of methacrylate based resists are significantly different in different organic solvent developers such as OSDTM-1000 Developer* and n-butyl acetate (nBA), affecting their resist performance. This study reveals that understanding the resist dissolution behavior helps to design robust NTD materials for higher resolution imaging.
Critical material properties for pattern collapse mitigation
Modern high-resolution lithography, which employs a chemically amplified resist (CAR) at either 193 or 13.5 nm wavelength, is often limited by pattern collapse. While the general concepts of how CAR platforms work are widely understood, the influence of composition on pattern collapse has been studied to a lesser extent. In addition, the subject is often further complicated by non-disclosure of the resist chemistry used in the lithographic evaluation. Open-source photoresist platforms can be beneficial for fundamental studies on how individual components influence pattern collapse. Such platforms should mimic a typical CAR, containing - apart from the polymer - additional components such as photo acid generators (PAGs) and base quenchers. In this paper, 193 nm and EUVL open-source platforms are presented wherein the chemistry, composition, and concentration are all disclosed. With the aim to fundamentally understand how resist composition and behavior influences pattern collapse, the molecular weight of the polymer backbone and the concentration of both PAG and base quencher were varied. These sets of resists were exposed using both high-end optical lithography scanners. The results are presented such that the probability of pattern collapse is derived as a function of the exposure wavelength, chemistry, and component concentrations.
Accelerated purge drying to prevent pattern collapse without surfactant rinse for high-aspect ratio resist patterns
We developed a pattern collapse prevention method which does not use a surfactant rinse agent. The pattern collapse phenomenon is commonly expressed by the stress applied on the pattern with key components including "the surface tension of the rinse agent" and "contact angle between pattern surface and rinse agent." Using a surfactant as a rinse agent is targeted at reducing "the surface tension of the rinse agent." The pattern collapse prevention method of focus in this report evaluates the "the drying rate of a rinse agent" and "the accumulated stress on a pattern" in relation to the pattern collapse phenomenon. By increasing the drying rate of the rinse agent, the integrated stress on the pattern is reduced allowing for the pattern collapse prevention. Dramatically speeding-up the drying rate of rinse agent by Accelerated Purge (AP) drying integrated into a photolithography track develop module and without using a surfactant rinse agent we have confirmed the ability to control the pattern collapse phenomenon. With AP drying we have also confirmed further defect reduction that would normally result from rinse agent remaining on a wafer, which has been significantly improved by the super-fast drying process. AP drying is a promising technology which can control pattern collapse phenomenon without using a surfactant rinse agent with advantages in yield improvement, process time reduction and chemical cost reduction.
Novel Materials and Processing I
icon_mobile_dropdown
Investigation of pattern wiggling for spin-on organic hardmask materials
Semiconductor manufacturing technology is currently undergoing a transformation from immersion photolithography to double patterning or EUV technology. The resultant resist dimensional size and height shrinks will require improved pattern transfer techniques and materials. Underlayer (UL) processes which include chemical vapor deposition (CVD) and spin-on application play a very important role in various chip manufacturing integration schemes. A pattern wiggling problem during substrate etch has arisen as a critical issue when pattern dimensions shrink. CVD processes have shown better pattern transfer performance than spin-on processes but at higher cost and process complexity along with difficulty in obtaining planarization and good gap fill. Thus spin-on process development has received increased attention recently as an attractive alternative to CVD processing. In this work we focus on elucidating the mechanism of UL wiggling and have synthesized materials that address several hypothesized mechanisms of failure: hydrogen content, modulus, film density, charge control unit type and thermal resistance. UL materials with high thermal resistance additionally provide the ability to expand the applicability of spin-on approaches. Material properties and wiggle failure test results will be discussed.
Spin-on-carbon-hardmask with high wiggling resistance
Yasunobu Someya, Tetsuya Shinjo, Keisuke Hashimoto, et al.
For the mass production of the advanced semiconductor device, the multi-layer process has been used for the essential technique {photoresist/ silicon contained hard mask (Si-HM)/ spin-on-carbon-hardmask (SOC)}. Spin -on-Carbon material plays a very important role during the etching process of substrates. The substrate etching process induces severe pattern deformations (called wiggling) especially with fine line/space patterns. Therefore, both the high etching resistances and the high wiggling resistance are demanded for SOC materials. In this study, we investigated the etching performances with several SOC materials. We found that the relationships between SOC properties and the resistance for wiggling generation. We will discuss the material design of novel SOC for high wiggling resistance.
Substrate and underlayer dependence of sub-32nm e-beam HSQ pillar patterning process for RRAM application
Wei-Su Chen, Peng-Sheng Chen, Hung-Wen Wei, et al.
High AR bi-layer resist (BLR) pillar with organic underlayer (UL) is inevitable for etching of thick RRAM film stacking considering etch selectivity to avoid collapse. Selection of UL is a key factor to determine the AR of BLR pillar and selectivity during etching of hard mask (HM) and RRAM film stacking. In this work, e-beam patterning of HSQ pillar under various e-beam dose conditions, pattern density and HSQ thicknesses are studied on carbon highly contained UL TBLC-100PM. Hard mask layer of low temperature nitride (LTN) or oxide (LTO) above TiN/Ti/HfOx RRAM film stacking are also studied for achieving highest HSQ CD resolution by reducing e-beam proximity effect. Fogging effect is studied with various e-beam dose of the L/S=1/20 isolated pillar array which is far from the other arrays of 36 μm. Experimental results are summarized below. Etch rates (etch resistance) of TBLC-100PM UL under fluorine or chlorine-based plasmas are lower (higher) than that of AR3-600 UL with low carbon contained. Thicker LTN HM is necessary for higher HSQ pillar CD resolution. HSQ pillar CD resolution on LTO HM is higher than that on LTN HM. Smallest CD of HSQ pillar is 23.1 nm. Fogging effect is strong for TBLC-100PM where e-beam dose could affect the shape of HSQ pillar of neighboring array. This is not observed for AR3-600 UL. HSQ pillar CD resolution is highest for HSQ coated at 2000 rpm. Small change of HSQ coating speed could degrade CD resolution and imaging contrast drastically which may come from the fogging effect.
Conductive layer for charge dissipation during electron-beam exposures
Electron beam resists develop a surface potential during exposure, which can lead to image placement errors of up to several nanometers [1] and result in poor CD uniformity and image quality. To address this problem, we have synthesized a conductive polymer that can be coated onto a resist. Our conductive discharge layer (CDL) is water-soluble and is easily removed during subsequent processing steps. Having established that our material has a low enough resistance for full charge dissipation, we have carried out extensive tests to evaluate the impact of the layer on lithographic performance. We will report these findings, which include measurements of the effect of the CDL on the resolution, roughness, and speed of the resist.
Lithography at the Intersection of Optics and Chemistry: Joint Session with Conference 8326
icon_mobile_dropdown
The saga of lambda: spectral influences throughout lithography generations
In this paper, the progression of optical lithography from a spectral (or wavelength) perspective is presented. The interdependencies between materials, sources, design challenges, and scaling are described relative the needs of semiconductor device generations. Comparisons of materials and approaches for use in the blue-UV, the mid-UV, the deep-UV, and to EUV wavelengths are provided showing the spectral influences for choices made. Inorganic and organic lithography materials, sources of radiation, and incremental wavelength "shrink" are compared for lithography generations from g-line to EUV and beyond.
EUV Materials, Processing, and Analysis
icon_mobile_dropdown
Patterning development in spin-on hard mask systems for 30nm half-pitch EUV technology
As Extreme Ultra Violet technology (EUV) is being introduced, multilayer hard mask patterning becomes a key option in order to transfer the lithographic patterns into the circuit stack. In particular, spin-on multilayers can play a decisive role on the process roadmap as a more cost-effective solution than Chemical Vapour Deposition options. The integration of spin-on hard masks in EUV technology nevertheless requires these products to be EUV-outgassing friendly. In addition to this, the spin-on solutions must withstand the demanding photoresist and circuit stack aspect ratios during patterning. This paper presents the EUV process development for contacted metal lines with 30nm half-pitch dimensions in a dual damascene application. The performance of an all-spin-on multilayer system composed of an EUVphotosensitive layer, an organic underlayer, a silicon-rich middle layer and a carbon-rich bottom layer is demonstrated. Firstly, outgassing of the various polymer layers in vacuum is a critical parameter to control since it can directly impact the EUV-tool-optics lifetime. The qualification, selection and process optimisation of different materials for use in the ASML NXE:3100 EUV scanner are shown by interpreting Residual Gas Analysis data. The outgassed species for different types of layers are compared. In this study, the shielding effect of the top layers on the outgassing of the layers underneath is quantified. The influence of the layer composition is also discussed. Secondly, the lithographic performance of the 30nm half-pitch process on the NXE:3100 is characterized with process windows and profile control using the IMEC process-of-reference. The CD uniformity results within wafer and across wafer-batches are used to demonstrate the process maturity. Finally, considering the patternability of the EUV process, we demonstrate the ability of the all-spin-on multilayer system to planarize over the challenging dual damascene topography. To conclude on the potential of this scheme, we describe the etched dual damascene patterns into a dielectric stack which is representative for the 30nm half pitch technology node.
The novel spin-on hard mask and ultrathin UL material for EUVL
Rikimaru Sakamoto, Hiroaki Yaguchi, Syuhei Shigaki, et al.
For below Hp22nm generation, Hard-mask strategy is one of the key issues to achieve the good balance for Lithography and Etching performance. The thickness of resist should be thicker enough to obtain the etching margin for the substrate etching. However, the thickness of photo resist (PR) needs to be thinner to obtain the good pattern collapse margin and resolution. In order to solve this tread-off, the spin-on hard mask (HM) technology can be applied. On the other hand, the ultra thin organic Underlayer (UL) being combined with the CVD-HM film stack is also one of the processes for EUV lithography. In order to avoid the film loss of resist during UL open, the thickness of UL must be thinner and the etch rate need to be faster. We studied the effect of UL design and thickness for the EUV lithography performance. For EUV lithography, the electron generation effect from UL is one of the key factors to improve the patterning performance of resist. In this paper, we studied the suitable functional group for the Silicon containing HM(Si-HM) for multilayer process and Org.-UL, which has high potential to generate 2nd electron and enhance the resist performance, and discuss the material design and performance.
EUV resist processing with flash-lamp
Julius Joseph Santillan, Koji Kaneyama, Akihiko Morita, et al.
The reduction of line width roughness (LWR) remains a difficult issue for very fine patterns obtained with extreme ultraviolet (EUV) lithography. Thus, the investigation of LWR-reduction from the viewpoint of resist processing has become necessary. Alternative bake processes, such as the flash-lamp (FL) has been proven feasible as for application in EUV resists. This work focuses on initial investigations for its use in post-development bake (post bake or PB). A polyhydroxystyrene-acryl hybrid EUV model resist was utilized and comparisons with 'no bake' and conventional hot-plate PB conditions were made. As a result, relatively improved LWR was obtained with FL PB with minimal effect on lithographic performance. Moreover, in the course of these experiments, two types of resist reflow mechanisms assumed to be the primary basis for the LWR improvement achieved, are discussed.
Stable, fluorinated acid amplifiers for use in EUV lithography
Seth Kruger, Kenji Hosoi, Brian Cardineau, et al.
This paper presents two new concepts that together provide a 100,000X improvement in stability for AAs that produce highly-fluorinated, strong sulfonic acids. These two new design concepts are based on (1) an olefin-trigger structure and (2) a trifluoromethyl group alpha to the sulfonic ester. These new concepts led to the synthesis of the first stable acid amplifier that generates triflate acid and for the synthesis of AAs that are stable enough to be used as monomers in free-radical polymerization reactions yet produce very strong, fluorinated acids. Lastly, we present preliminary results where one new AA is able to improve the LER of a control resist from 4.6 ± 0.5 nm to 2.1 ± 0.1 nm.
Novel Materials and Processing II
icon_mobile_dropdown
CD error budget analysis for self-aligned multiple patterning
EUV lithography is one of the most promising techniques for sub-20-nm half-pitch HVM devices, however it is well known that EUV lithography solutions still face significant challenges. Therefore we have focused on self-aligned double patterning (SADP), because SADP easily enables fine periodical patterning. As you know, SADP techniques have already been applied to HVM devices such as NAND Flash memory. These techniques will also be extended to DRAM and logic mass-production devices in the near future. In general, self-aligned multi-patterning consists of SADP, triple patterning (SATP), quadruple patterning (SAQP), etc. We have already introduced innovative resist core based SADP/SAQP techniques and have demonstrated results in past SPIE sessions.[1][2][3] Our proposed SiO2 spacer is directly deposited on a resist core by a low-temperature deposition process.SATP and SAQP enable further down-scaling to 10-15 nm hp from SADP levels, however the CD controllability for SATP/SAQP becomes more sensitive. In this paper, we will discuss CD error budget analysis for self-aligned multi-patterning, including a newly developed SATP scheme.
Demonstration of 22nm SRAM features with patternable hafnium oxide-based resist material using electron-beam lithography
Xaver Thrun, Kang-Hoon Choi, Martin Freitag, et al.
To fulfill the requirements of future technology nodes new resists with high resolution, high sensitivity and low LWR and LER respectively are needed. A new inorganic non-chemically amplified resist (XE15IB, an experimental resist provided by Inpria Corp.) was investigated. The resist is spin-cast from aqueous solution and is based on hafnium oxide. Metal oxide based resist as XE15IB supersede other resist materials due to its high etch resistance.1, 2 This new material can be considered as a direct patternable spin on hard mask. XE15IB was processed in a 300mm complementary metal oxide semiconductor (CMOS) manufacturing environment and exposed on a 50 kV VISTEC SB3050DW variable shaped electron beam direct writer at Fraunhofer Center Nanoelectronic Technologies (CNT). The resist was evaluated in terms of contrast, sensitivity and resolution. The process characteristics required for CMOS manufacturing such as delay stability were also examined. Furthermore, by printing a large static random access Memory (SRAM) pattern (design CD of 22 nm), the exposure of a real application pattern was demonstrated.
Direct implant through BARC
Tomoya Ohashi, Makiko Umezaki, Yoshiomi Hiroi, et al.
The lithography process for implant layer will be more difficult beyond 22nm node. Current method, TARC/ resist stacks, resist/ DBARC stacks and resist/ BARC with etching process, can't meet manufacture requirement. How to solve this issue will be very important topic. In this study, we evaluated resist/ BARC stacks without etching. We call this process "direct implant through BARC process". We focused on depth profile of implant ion in substrate after direct implant through BARC process. We evaluated dependency between ion depth profile and BARC property. As a result, we found out BARC thickness had a big impact on ion depth profile and component of BARC was injected into substrate. We discussed modification of substrate using component of BARC.
Focus improvement with NIR absorbing underlayer attenuating substructure reflectivity
Wu-Song Huang, Dario Goldfarb, Wai-kin Li, et al.
Process dependent focus leveling errors occur in photolithography when there is unpredicted reflectivity originating from multilayer structures on the fully integrated process wafer. The typical wavelength used in optical focus sensors is in the near infrared (NIR) range which is highly transparent to most dielectric materials. Consequently, the reflected light from underlying structures perturbs the accuracy of the leveling signal reflected from resist surface. To alleviate this issue, air-gauge focus sensors have been used to measure the wafer surface topography for an in-situ calibration to correct the focus leveling error. Using an air-gauge sensor is a slow process and a throughput detractor. Therefore, an NIR-absorbing underlayer has been developed for easy insertion into existing resist coating processes. It has been demonstrated that the air-gauge sensor can be turned off without showing any degradation in leveling data or litho performance on back end of line (BEOL) integrated wafers.
Design, synthesis, and characterization of KrF negative developable bottom anti-reflective coating materials
Sen Liu, Kuang-Jung Chen, Wu-Song Huang, et al.
Negative photoresist materials for 248 nm (KrF excimer laser) implant applications are of interest to research and development recently, due to the ever-present demand to shrink lithographically-patterned device dimensions at an affordable cost. Challenges to developing such a successful resist are the topography of the substrate and subsequent reflectivity complexities. Substrate reflectivity control, resist profile, and critical dimension (CD) uniformity are critical issues that must be addressed to enable robust lithography performance at high KrF numerical aperture. The design, synthesis and characterization of a series of polymers for negative developable bottom anti-reflective coating (NDBARC) materials suitable for KrF negative implant resists is described.
Poster Session: EUV Materials
icon_mobile_dropdown
Limitation of blend type of resist platform on EUV lithography
Taku Hirayama, Su Min Kim, Hai Sub Na, et al.
Chemically amplified resist (CAR) system is being widely used not only for 248 nm and 193 nm lithography but for Extreme Ultra Violet Lithography (EUVL).[1] And CAR system is based on blend resist platform which is formulated with polymer and photo-acid generator (PAG) independently. In EUVL to aim at 22 nm node and beyond, EUV resists are required to achieve much higher acid generation efficiency and overcome RLS (Resolution, Line edge roughness, Sensitivity) trade-off using some ideas such as increase in PAG concentration and film absorption coefficient, suppression of acid diffusion length and so on.[2-6] Increase in PAG loading ratio is a promising strategy to improve EUV resist performance,[7-10] however there must be upper limitation of PAG loading ratio on blend resist platform due to lowering film Tg induced by a plasticization effect of blended PAG. This plasticization effect of blended PAG would have another impact to increase acid diffusion length, resulting in low resolution and significant thickness loss, especially on ultra thin film condition. On the other hand, utilizing the PAG having bulky cation structure was beneficial in order to maintain dark loss (in other word, top loss) of the patterned features, however, this type of cation would show low quantum yield driven by the substituent on a cation structure, so that total performance such as ultimate resolution deteriorated. From these results, the bound resist platform which has PAG unit on polymer backbone as branch would be promising platform because of its potential advantages such as suppression of dark loss, no plasticization effect and control of acid diffusion.
Theoretical study on structural effects of polymer ionization for EUV resist
M. Endo, S. Tagawa
We studied the ionization of polymer for extreme ultraviolet (EUV) resist. Quantum chemical calculation was performed. Upon EUV exposure to the polymer in a resist, the ionization of the polymer occurs and the secondary electrons generate. As the secondary electrons from the polymer cause the reaction of photoacid generator and the photoacid generates, the ionization of the polymer is a key for the sensitivity of resist for EUV. In this paper, the structural effects of polymer ionization was investigated. The acryl polymers with various pendant groups were compared. It was found that the stable condition of radical cation helps the ionization of the polymer.
Investigation of correlation with the octanol water partition coefficient and the sensitivity of negative-tone molecular resists
Masatoshi Echigo, Masako Yamakawa, Yumi Ochiai, et al.
In this paper, we report the investigation of correlation with the octanol water partition coefficient and the sensitivity of negative-tone molecular resists based on calix[4]resorcinarene (CRA) by Electron Beam Lithography (EBL). The sensitivity of negative-tone molecular resists were higher as the value of the Octanol water partition coefficient got smaller. It was confirmed that the octanol water partition coefficient was useful to the guess of sensitivity of negativetone molecular resists. Furthermore, we have developed calix[4]resorcinarenes showing well-defined sub 20nm halfpitch patterns.
A novel single-component resist based on poly (4-hydroxylstyrene) applicable for EUV lithography
Juan Liu, Min Li, Liyuan Wang
With the growing demand of the electronics industry for smaller, higher resolution features, next generation lithographic techniques, such as Extreme Ultraviolet(EUV) lithography have caused widely attention of the scientists. As the EUV absorption is determined by the nature of atoms but not by the structure of molecules, poly(4- hydroxylstyrene) (PHS) based resists can be employed in EUV lithography. Single-component resist system is constructed by the polymers consisting of two major functional components, photoacid-generating unit and the acidlabile group, which can help to alleviate the problem derived from the poor compatibility in multi-component resist system. In this paper, PHS was used as raw material to prepare a novel polymer with sulfonuium salt group attached on part of the benzene rings and the hydroxyl groups partly protected by t-BOC, which can be used as a novel kind of single-component CA resist. The polymer can be dissolved in common resist solvents. The thermal stability, photolysis and photolithographic property of the resist material were investigated.
Poster Session: Fundamentals
icon_mobile_dropdown
Defining and measuring development rates for a stochastic resist
Development rate can be defined microscopically (the development rate at a point) or macroscopically (the propagation rate of an average resist height). In the presence of stochastic noise, these two rates will be different. Using a stochastic resist simulator, the propagation rate of a resist surface is calculated in the presence of stochastic variation in the resist deprotection concentration using a nonlinear development rate model. For both 2D and 3D simulations, the development front propagation rate was fit to semi-empirical expressions. The resulting propagation rate can be more than an order of magnitude higher than for the case of no stochastic noise. The differences between microscopic and macroscopic dissolution rate can have an important effect on how development rate models should be calibrated, depending on their use in continuum or stochastic lithography simulators.
Diffusion of acid from resist to Si-hardmask layer
Masamitsu Shirai, Hiroki Takeda, Tatsuya Hatsuse, et al.
In a chemically amplified (CA) resist process, photochemically generated acid can diffuse in the resist matrix, inducing the de-protection reactions. The concentration of acid in resist matrix should be constant during the post-exposure-bake (PEB) treatment. In the practical resist processes, bottom anti-reflective coating (BARC) is essentially important to provide reflectivity control for resist patterning. In some cases, however, the photochemically generated acid in resist layer can diffuse into BARC layer, which causes the footing for resist patterns. In this work, we have studied the diffusion of acid from CA resist layer to Si-hardmask (Si-HM) layer. The Si-HM is essential for the multi-layer patterning process. The acid concentration in the resist layer was estimated based on the de-protection reaction kinetics for the CA resist using rapid scan FT-IR spectroscopy. It was found that the acid in resist layer diffused into the Si-HM layer. The diffusion efficiency of the acid was dependent on the crosslinking density of the Si-HM and the chemical structure of the resist.
LWR reduction by photoresist formulation optimization for 193nm immersion lithography
Dennis Shu-Hao Hsu, Wei-Hsien Hsieh, Chun-Yen Huang, et al.
Line width roughness (LWR) is one of the most critical performance indexes for low k1 ArF immersion Lithography. Several factors are impacting LWR performance during Lithography process, such as structures, anti-reflective coating (ARC), photoresist, baking condition, illumination condition and track process. However, the structures and ARCs are strongly related to integration and Etch processes. The illumination conditions, including mask bias, are decided by simulation software but the track condition usually follows the pervious node at initial step normally. Therefore, it sometimes shows poor results because of the difference of the under-layer condition. For example, it has been uncovered that LWR and local CD uniformity (LCDU) became worse while structure changed to Carbon-DARC-Resist (CDR) from Multi-Layer Resist (MLR). Generally, photoresist evaluation with baking condition optimization is a typical way to improve LWR performance. The photoresist formulation contains photosensitive polymers, photoacid generators (PAGs), quenchers, additives, and solvents. Based on photoresist's point of view, the first two are the most important factors of LWR performance control. Several designs of experiments (DOEs) were planned with polymers, PAGs, and PEB conditions. The target is to achieve LWR of CDR under the result of MLR. In this paper, Polymer DOE1 and its statistical analyses have finished. Compared to de-composition efficiency of each unit, small protection unit and PEB effect are the most important factors, and bulky protection unit shows less influence for LWR improvement. Small protection unit is more important than bulky protection unit, and high Ea monomers of both are good for LWR. Based on previous experiences, we have chosen the acid diffusion length / amount of PAG and PEB temperature for PAG DOE2 plan. Higher PEB is the most effective to LWR. Shorter diffusion length PAG with fewer loading amounts is better for MEEF and EL by DOE2 result. The final DOE3 is combined by optimized polymers by DOE1 and optimized PAG by DOE2. The polymer is used DOE1 Tr2, DOE1 Tr4, and estimated new polymer by DOE1 result, and the PAG is chosen shorter diffusion PAG-O with the split of loading amount and PEB temperature. Based on the statistical analyses of DOE3 result, higher PEB temperature is still the most effective to LWR, and new polymer and DOE1 Tr2 polymer are better for LWR reduction. However, higher PEB temperature would suffer a little bit of DOF, EL, and MEEF. In order to achieve the lower LWR, it needs to use DOE1 Tr2 polymer with higher PEB, but insufficient DOE and MEEF would get at the same time. New polymer by DOE1 combined fewer PAG-O loading amount with lower PEB could get slower sensitivity for all Litho index's requirements. Through DOE works, we found out the volume of protection unit and PEB were key factors for good LWR. However, these factors are trades off DOF, EL, and MEEF against LWR, and they need to optimize for the best balanced Litho performances.
Observation of swelling behavior of ArF resist during development by using QCM method
Atsushi Sekiguchi, Hiroko Konishi, Mariko Isono
Many reports have discussed the swelling behavior of photoresists during development, as observed by the QCM method. Previously, we reported on the development of development analysis equipment based on the QCM method. In this paper, we report on a high-precision resist development analyzer also based on the QCM method. This equipment incorporates a high-precision developing solution temperature controller and features a high-precision air conditioning function for the measurement chamber. We also measured swelling behavior during development using a TBAH developer solution, which features larger molecules than TMAH, comparing these results with those obtained with TMAH. The results of this measurement indicate that the extent of resist swelling during development is less with TBAH developer solution than with TMAH developer solution. This result is consistent with results of a study by Itani et al. using high-speed AFM, suggesting the suitability of the measurement equipment used in our experiments.
Study of the lithography characteristics of novolak resist at different PAC concentrations
Atsushi Sekiguchi, Akichika Nakao, Hideo Horibe, et al.
In a recent study, we measured the exposure and development characteristics of five types of novolak resists with varying photoactive compound (PAC) concentrations. This report presents measurement results, as well as results of comparisons of patterns and process margins, obtained using the PROLITH lithography simulator. We also used PROLITH to investigate the effects of PAC concentrations on the swing ratio. Both experiment and simulation confirm that increasing PAC loading results in improved exposure latitude and reduced feature size swing ratio.
Poster Session: Novel Resist Materials
icon_mobile_dropdown
Synthesis and photopolymerization kinetics of a novel oxime ester sulfonic acid photoacid generator
Yu-Lian Pang, Ying-Quan Zou
On the basis of the chemical structure of (5-Hydroxyimino-5H-thiophen-2-ylidene)-phenylacetonitrile, we synthesized a novel sulfonic oxime ester photoacid generator named (5-Ptoluenesulfonyloxyimino- 5H-thiophen-2-ylidene)-phenyl-acetonitrile (TTPA),which was an efficient Photoacid generator (PAG) for 405nm violet laser imaging system.It was synthesized from 2- Nitrothiophen ,Phenylqceto-nitrile and P-toluenesulfonyl chloride.TTPA was characterized by FTIR1HNMR and UV absorption spectra. Real time infrared spectroscopy(RTIR) was used to investigate the effect of different monomers system and different concentrations of TTPA on the polymerization kinetics. The results showed that with the concentration of TTPA increase, with limits, the rate of photopolymerization(Rp) and final double bond conversion increased and the induction period shortened.
Synthesis of stable acid amplifiers that produce strong highly-fluorinated polymer-bound acid
Kenji Hosoi, Brian Cardineau, William Earley, et al.
A novel series of stable, acid amplifiers (AAs) has been designed and tested for use in Extreme Ultraviolet (EUV) lithography, that generate strong, fluorinated polymer bound sulfonic acids. Novel polymer bound and blended AAs were prepared in moderate to good yields and characterized by NMR. We demonstrated by EUV lithography that the polymer bound AA resist has line-edge roughness (LER) values of 3.8 nm and the polymer blended AA resist has LER values of 2.1 nm while the control resist has LER values of 4.6 nm. Although sensitivity comparisons have yet to be made, these new resists using bound and blended AAs are showing remarkable improvements in LER when compared with the control resist without AAs.
Ionic carbamate photoacid/photobase generators for the advancement of dual-tone photolithography
Geniece L. Hallett-Tapley, Tse-Luen Wee, Joby Eldo, et al.
Current work in lithographic patterning has been carried out using 193 nm excitation sources, limiting the pitch division to approximately λ/2 and, thus, the advancement of Moore's law. Recently, double patterning has emerged as a potential extension of 193 nm techniques as two lines can be patterned in one exposure. In this contribution, the double patterning features of single component carbamate photoacid/photobase generators (PAG/PBG) are examined. At lower exposure doses, sulfonic acid is generated, while at higher doses, a photochemical rearrangement is initiated to activate the PBG. Optimally, at intermediate doses, photoacid and photobase components can exist concurrently resulting in the desired dual tone lithographic features. The energy required to initiate dual tone behavior can be tailored through co-added amine quenchers and carbamate concentration. Using ellipsometry, the energy required for the resists to have the first sign of photoacid generation (film dissolution), E0, and at the energy required for photobase activation (En) were determined, as this value dictates the ability to achieve the desired pitch division.
Positive-tone chemically amplified fullerene resist
J. Manyam, A. Frommhold, D. X. Yang, et al.
With continuing efforts to achieve higher lithographic resolution there has been on-going interest in the development of low molecular weight resists, such as molecular glasses. Here we present the initial results of a study into the development of a positive tone two component chemically amplified resist based on methanofullerene derivatives (MF) with acid labile groups (tert-butyl acetate (tBAC); tert-butoxycarbonyl (tBOC)). Mono, di, tris and hexa adducts of MFtBAC together with mono and di adducts of MF-tBOC have been evaluated with several photoacid generators. Sensitivities as high as 11 μC/cm2 have been achieved in some cases and sub-100 nm features have been patterned.
Synthesize and polymerization of novel photocurable vinyl ether monomers containing perfluorinated aromatic units
Wei Li, Ying Quan Zou
A series of novel UV-curable vinyl ether monomers with perfluorinated aromatic units for photoresist had been designed and synthesized. Perfluorinated vinyl ether monomer I-1was prepared from the reactions of 2-vinyloxy ethanol and hexafluorobenzene in the presence of sodium hydride in DMF. And perfluorinated vinyl ether monomer I-2 was prepared from the reactions of I-1 and 2,2,2-trifluoroethanol in the presence of sodium carbonate in DMF. The photocrosslinked perfluorinated polymers obtained by PAG201 (a kind of cationic photo-initiator) initiating. When PAG201 was introduced into the monomers, the conversion of vinyl ether double bond increased sharply. The final conversion was close to 90%, and when the light intensity was 478μW/cm2, at 25 sec, the polymerization achieved maximum. Generally, the UV-curing performance of monomers with 3wt.% PAG201 concentration is superior to 2wt.% PAG201 concentration. And their physical and chemical properties satisfied the material requirements for photoresist or UV imaging materials.
Reduced Zeta potential through use of cationic adhesion promoter for improved resist process performance and minimizing material consumption
Lorna Hodgson, Andrew Thompson
This paper presents the results of a non-HMDS (non-silane) adhesion promoter that was used to reduce the zeta potential for very thin (proprietary) polymer on silicon. By reducing the zeta potential, as measured by the minimum sample required to fully coat a wafer, the amount of polymer required to coat silicon substrates was significantly reduced in the manufacture of X-ray windows used for high transmission of low-energy X-rays. Moreover, this approach used aqueous based adhesion promoter described as a cationic surface active agent that has been shown to improve adhesion of photoresists (positive, negative, epoxy [SU8], e-beam and dry film). As well as reducing the amount of polymer required to coat substrates, this aqueous adhesion promoter is nonhazardous, and contains non-volatile solvents.
Resist surface crosslinking using amine-based reactive rinses to mitagate pattern collapse in thin film lithography
As the semiconductor industry continues to push to smaller critical dimensions, pattern collapse during lithographic processing caused by unbalanced capillary forces during the final rinse and drying process has become an important problem that can limit the practical resolution of a resist material to feature sizes larger than its intrinsic resolution limit. One of the primary modes of pattern collapse is via elastoplastic pattern deformation which is strongly related to the mechanical properties of the resist. One approach to mitigating such collapse problems is to enhance the mechanical properties of the resist features. Since such modification of resist physical properties for pattern collapse purposes is difficult to achieve through modified formulation of the resist itself (i.e. due to the complex set of requirements that a resist must satisfy and the complex set of physical and chemical phenomena that underlie the imaging processing itself), we have pursued an alternative strategy for improving the resist mechanical properties after features are developed in the film but before they are rinsed and dried. The family of techniques being developed in this work function through the use of aqueous compatible reactive rinse solutions that can be applied to developed resist features while they are wet during normal rinse processing on a track system. By applying these techniques during the rinse process, the resist features can be strengthened before they are subjected to significant capillary forces during the final drying step. In this work, the use of diamine compounds to reactively crosslink the surface of resists containing carboxylic acid groups through formation of amide bonds using carbodiimide chemistry has been explored. One advantage of this approach is that it is an aqueous process that should be easily compatible with high volume, track-based lithographic processes. Contact angle studies and x-ray photoelectron spectroscopy (XPS) were used to characterize the surface crosslinking reaction using such diamine surface rinse treatments. Pattern collapse test structures were fabricated and analyzed to measure the amount of mechanical property improvement imparted by such treatments. Application of such amine reactive rinses was found to clearly result in an improvement in the resistance of resists to pattern collapse as observed by SEM. A comparison of the critical stress at the point of pattern collapse as a function of resist feature size also clearly shows a significant improvement in mechanical resilience of resist samples processed with the reactive rinse treatment.
Application of aziridine reactive rinses in a post-development process to reduce photoresist pattern collapse
One of the problems for lithographic processes at very small feature scales is pattern collapse caused by unbalanced capillary forces experienced by the photoresist patterns during the final deionized water rinse and drying process. The use of surfactants or super critical fluids to reduce collapse problems has been proposed and studied by many research groups. However, the patterns rinsed with low surface tension fluids appear in many cases to shrink or such treatments cause other feature deformations. Super critical fluid processing requires major changes to the ways in which current track systems operate and can result in swelling and deformation of the resist features as well. Instead of utilizing super critical fluids or adding surfactants to the rinse liquid, one general methodology we have pursued for alleviating such pattern collapse problems involves the actual strengthening of the resist feature itself during wet processing in the development and rinse cycle. One method we have investigated extensively is the use of post-development resist surface crosslinking reactions while the resist structures are still in their wet state, a process we term "reactive rinsing". Such reactive rinse processes have shown significant impact on improving resist pattern collapse. However, previous chemistries used for such reactive rinse processes have either: (1) been complex mixtures that potentially have long term stability problems (i.e. thus making their application in a fab environment more difficult) or (2) been specific to a certain resist types in that the chemistries react with only certain resist functional groups that may not be present in all resists of interest (e.g. some chemistries only work with phenolic resins such as those found in DUV or EUV resists). Therefore, the goal of this work has been to investigate other novel reactive rinse chemistries that are both more robust and which can function with different families of resist materials. Poly-functional aziridines offer one potential such family of compounds that could be the basis for a reactive rinse chemistry. Such aziridines are often used industrially in both aqueous and nonaqueous coatings, inks and adhesives to promote both physical and chemical properties and are known to react with carboxylic acid functional groups such as those commonly found in many 193 nm resists. The goal of this specific study was to determine if poly-functional aziridine chemistry could be used as a reactive rinse for preventing photoresist pattern collapse in 193nm type resists. Contact angle studies and x-ray photoelectron spectroscopy (XPS) were used to characterize the surface crosslinking reaction using aziridine treatments of model resists containing carboxylic acid functionality. Pattern collapse test structures were fabricated and analyzed using a PMMA/PMAA copolymer resist commonly employed for e-beam lithography. SEM studies of the resulting patterns confirm that use of multifunctional aziridine crosslinkers during a post-development process can significantly reduce pattern collapse and enable production of higher resolution features.
Poster Session: Novel Processing
icon_mobile_dropdown
Characteristics analysis of RELACS process from an OPC point of view
There are strong demands for techniques which are able to extend application of ArF immersion lithography. Especially, the leading edge techniques are required to make very small hole patterns below 50nm. Several techniques such as double patterning technique, free-form illumination and resist shrinkage technology are considered as viable candidates. Most of all, NTD (Negative Tone Development) is being regarded as the most promising technology for the realization of small hole patterns When NTD process is applied, hole patterns are defined by island type features on the reticle and consequently its optical performance shows better result compared with PTD (Positive Tone Development) process. However it is still difficult to define extremely small hole patterns below 40nm, new combination process of NTD with RELACS is being introduced to overcome resolution limitation. NTD combined with RELACS, which is the most advanced lithography technology, definitely enable us to generate smaller size hole patterns on the wafer. A chemical shrinkage technology, RELACS (Resolution Enhancement Lithography Assisted by Chemical Shrink), utilizes the cross linking reaction catalyzed by the acid component existing in a predefined resist pattern. In case of PTD combined with RELACS process, we already know that CD change after the shrinkage process is not influenced by duty ratio. So we could easily reflect the RELACS bias to meet the CD target during OPC (Optical Proximity Correction) procedure. But NTD combined with RELACS process was not understood clearly, nor verified. It requires more investigation of physical behavior during combined process in order to define exact hole patterns. The newly introduced process might require additive OPC modeling procedure to satisfy target CD when NTD RELACS bias has different values according to pitch and shape. This study is going to include the investigation on two types of resist shrinkage process, PTD and NTD. The optimized OPC methodology will be discussed through the evaluation on simple array hole patterns and random hole patterns.
Development of Si-HM for NTD process
Wen Liang Huang, Yu Chin Huang, Bo Jou Lu, et al.
Negative Tone Development (NTD) process with ArF immersion has been developed for the next generation lithography technology because it shows good resolution performance and process window for C/H and trench patterning. Because of the etch requirement, tri-layer process has been used popularly. However, most of the Si-HM materials are optimized for positive tone development process and most of them show poor lithography performance in NTD process. In this paper, we study the behaviors of Si-HM for NTD process, develop new concepts and optimize the formulation of Si-HM to match the resist for NTD process bellow N28 node device.
Negative-tone development of photoresists in environmentally friendly silicone fluids
The large amount of organic solvents and chemicals that are used in today's microelectronic fabrication process can lead to environmental, health and safety hazards. It is therefore necessary to design new materials and new processes to reduce the environmental impact of the lithographic process. In addition, as the feature sizes decrease, other issues such as pattern collapse, which is related to the undesirable high surface tension of the developers and rinse liquids, can occur and limit the resolution. In order to solve these issues, silicone fluids are chosen as alternative developing solvents in this paper. Silicone fluids, also known as linear methyl siloxanes, are a class of mild, non-polar solvents that are non-toxic, not ozone-depleting, and contribute little to global warming. They are considered as promising developers because of their environmental-friendliness and their unique physical properties such as low viscosity and low surface tension. Recently, there have been emerging interests in negative-tone development (NTD) due to its better ability in printing contact holes and trenches. It is also found that the performance of negative-tone development is closely related to the developing solvents. Silicone fluids are thus promising developers for NTD because of their non-polar nature and high contrast negative-tone images are expected with chemical amplification photoresists due to the high chemical contrast of chemical amplification. We have previously shown some successful NTD with conventional photoresists such as ESCAP in silicone fluids. In this paper, another commercially available TOK resist was utilized to study the NTD process in silicone fluids. Because small and non-polar molecules are intrinsically soluble in silicone fluids, we have designed a molecular glass resist for silicone fluids. Due to the low surface tension of silicone fluids, we are able achieve high aspect-ratio, high-resolution patterns without pattern collapse.
High-etching selectivity of spin-on-carbon hard mask process for 22nm node and beyond
As part of the trend toward finer semiconductor design rules, the resist film thickness is getting thinner, and the etching technology that uses resist masking is getting more difficult. To solve such a problem in recent years, the film structure used in the resist process also is changing from the single-layer process (BARC and resist stacked film) to the multi-layer process (Carbon hard-mask, middle layer and resist stacked film) The carbon hard-mask of multi-layer process can be divided into two kinds, which are the CVD-carbon (CVD-C) that uses the chemical vapor deposition method and Spin-on-carbon (SOC) that uses the spin-coating method. CVD-C is very attractive for ensuring the high etching selection ratio, but still has major challenges in particle reduction, lower planarization of substrate and high process cost. On the other hand, SOC is very attractive for low cost process, high level of planarization of substrate and no particles. Against this background, we verify the development of the SOC that had the high etch selection ratio by improving etching condition, material and SOC cure condition. Moreover, we can fabricate below 30nm SiO2 patterning and the possibility of development with extreme ultraviolet lithography (EUVL) was suggested. This paper reports on the results of a comprehensive process evaluation of a SOC based multi-layer technology using lithography clusters, etching tools.
Applicability of double-patterning process for fine-hole patterns
Self-aligned spacer Double Patterning (SADP) has been adopted in HVM of NAND FLASH memory device, because SADP can fabricate fine periodical line pattern more easily than pitch-split type DP. Furthermore, SADP can mitigate overlay accuracy such like pith-split type DP needed. The remarkable feature of SADP process is the adoption of a SiO2 film that can be deposited at extremely low temperatures for spacer formation. SADP and this deposition process also produce wide applicability to density multiplication on hole pattern. In our previous study, hole pattern fabrication below 40nmhp was examined. 30nm hp hole pattern was viable with single 193-immersion exposure successfully with our newly developed process scheme named EKB, and ultimate down-scaling on hole pattern, achieved to 20nm hp, was introduced utilizing cross-SADP[1][2]. In logic device manufacturing, pattern layout is getting to single directional, tabbed Gridded design rule (GDR) for the mitigation of various lithographic issues. Although Self-aligned type DP for hole pattern can describe periodical layout, it is really enabled for future simplified pattern layout. In this paper, successful demonstration results would be introduced in process simplification, process extendibility, CD controllability and further downward scaling.
Plasmon mediated polymerization on the surface of silver nanoparticles for advancements in photolithographic patterning
Kevin G. Stamplecoskie, Juan C. Scaiano
Metal nanoparticles and their plasmon excitation have been used to enhance spectroscopic transitions and chemistry on metal nanoparticle surfaces. The size and shape of the enhancement area around the nanoparticles is dependant on the size, shape, dielectric constant of the matrix and the metal. We have recently reported on the use of plasmon excitation to induce acrylic polymerization on the surface of silver nanoparticles and have made ~10 nm polymer features far below the diffraction limit using visible LED irradiation. The acrylic polymerization takes advantage of plasmon enhanced excitation of azo photoinitiators in the vicinity of nanoparticles, causing cross-linking only in the enhancement region. The formation of a cross-linked polymer on the surface of the particles causes a solubility switch, where the regions unaffected by irradiation remain soluble and can be selectively washed away leaving behind the AgNP with a polymer coating. Plasmon excitation also generates a large local temperature gradients on the surface of nanoparticles and a measureable macroscopically amount of heat. The heat generated near the surface of particles can also be used to induce thermal processes with high spatial control. This spatial and temporal control over localized heating can also be used to initiate chemistry on the surface of particles relevant to the next generation of photolithography.
Advanced multi-patterning using resist core spacer process for 22nm node and beyond
Yuhei Kuwahara, Satoru Shimura, Hideharu Kyouda, et al.
Self-aligned double patterning (SADP) such as multi-patterning process seems to be the most promising technology for 22nm node devices and beyond. In recent years, in order to further scaling, other multi-patterning processes such as self-aligned triple patterning (SATP) and self-aligned quadruple patterning (SAQP) have also been studied. However, process cost and CD controllability are major challenges since multi-patterning technology utilizes spacer processes which-requires a larger number of etching and deposition process steps. And then we began to study the simplified spacer process using resist core and we verified its process performance (Process window, LWR) This paper reports on the results of a comprehensive process evaluation of multi-patterning technology using lithography clusters, etching and deposition tools.
Combinatorial process optimization for negative photo-imageable spin-on dielectrics and investigation of post-apply bake and post-exposure bake interactions
Jihoon Kim, Ruzhi M. Zhang, Elizabeth Wolfer, et al.
Patternable dielectric materials were developed and introduced to reduce semiconductor manufacturing complexity and cost of ownership (CoO). However, the bestowed dual functionalities of photo-imageable spin-on dielectrics (PSOD) put great challenges on the material design and development. In this work, we investigated the combinatorial process optimization for the negative-tone PSOD lithography by employing the Temperature Gradient Plate (TGP) technique which significantly reduced the numbers of wafers processed and minimized the developmental time. We demonstrated that this TGP combinatorial is very efficient at evaluating the effects and interactions of several independent variables such as post-apply bake (PAB) and post-exposure bake (PEB). Unlike most of the conventional photoresists, PAB turned out to have a great effect on the PSOD pattern profiles. Based on our extensive investigation, we observed great correlation between PAB and PEB processes. In this paper, we will discuss the variation of pattern profiles as a matrix of PAB and PEB and propose two possible cross-linking mechanisms for the PSOD materials to explain the unusual experimental results.
Tunable resin reactivity of spin-on dielectric by controlling synthesis process
Kwen Woo Han, Hyun-Ji Song, Mi-Young Kim, et al.
In the recent semiconductor industry, as the device shrinks, spin-on dielectric (SOD) has been adopted as a widely used material because of its excellent gap-fill, efficient throughput on mass production and highly competitive initial cost of ownership. Among various semiconductor applications, SOD is especially valued as the suitable gap-fill material for shallow trench isolation (STI), because the previously adopted technology, high density plasma chemical vapor deposition (HDP-CVD), has a significant problem with void-free gap-fill on patterns with high aspect ratios. As SOD is spin-coated on those narrow patterns, planarization is one of the important requirements. On the course of our efforts on developing novel modified SOD materials, we discovered that the reactivity of each SOD resins has meaningful correlation with the degree of planarization. In this paper, three experiments have been illustrated to prove this correlation, 1) step coverage test, 2) humid air bubble test, and 3) film thickness shrinkage upon prebake. The SOD resin with lower reactivity turned out to exhibit 1) larger size of circle around silica-beads, 2) slower molecular weight growth under humid bubble condition, and 3) higher shrinkage upon prebake.
KrF resists for implant layers patterning extreme high-aspect ratio structures with a double focal plane exposure technique
Giorgio Rafaelli, Fabio Ferri, Stefano Volpi, et al.
The design rules for advanced image sensor applications are requiring continuous CD shrinkage, and increasing aspect ratios which resulting in major challenges associated with using KrF technology. For the implant photo layers in particular, the need to block high-energy boron implants (well above 2 MeV) with extremely localized implant profiles requires an aspect ratio of deep well structures greater than 10:1. Other desirable attributes of a good photoresist for such demanding applications are high transparency, a steep wall profile consistent throughout the entire film, good adhesion with no structure collapse, and a wide process window. In this paper, we will discuss the role of a chemically amplified, ESCAP-type of resist in meeting these design criteria using a double focal plane exposure technique.
Gray-level 3D resist process and its application
Yung-Chiang Ting, Shyi-Long Shy, Andy Liu, et al.
Gray level 3D resist process were developed by using negative e-beam resist and multiple coating multiple electron beam wafer direct write alignment, and are now going into be used to create complex 3D structures in thick resist. Gray level resist process to create 3D structure in thick resist can be used as mold for manufacturing Fly's-eye lens array, Fresnel lens, Prism, Flat prism and Light guiding plate. Such optical devices can be used for TFT LCD display, solar concentrator and LED.
Measurement and reduction of micro-bubble formation in high-viscosity fluids
Glenn Tom, Wei Liu
Gases at high drive pressure can initially dissolve into the fluids used in lithography and other critical processes during the fabrication of integrated circuits. In the low pressure portion of the dispense train, the dissolved gases can revert to bubbles. These bubbles can: 1. Affect the compressibility of the working fluid and change the flow characteristics of the dispense heads which require frequent re-tuning of the coating tools. 2. Contribute to defect formation if the bubbles are trapped on the surface of the wafer. Photosensitive Polyimides (PI) have high viscosities (1000 to 20,000 cP). Because of the high viscosity, high-powered, expensive pumps are needed to effectively remove the fluid from its container. Suction from the pump filling cycle easily causes cavitation, which can create flow rate variability, and micro-bubble formation. It is a common practice to apply pressure to the PI resists to minimize cavitation in the pump. The trade-off to this practice is the entrainment (dissolution) of the drive gas into the resist and the risk of micro-bubbles forming later in the dispense train. In the current study, ATMI measured the effects of two methods of pressure dispense from the container on the amount of gas entrained in a viscous fluid: (1) indirect pressure dispense and (2) direct pressure dispense. The main analytical method employed to measure the amount of dissolved gases is a gas chromatograph (GC), which can measure the concentration of gases dissolved in a volatile fluid. It is not suitable to measure gases in low volatility fluids. The new test method developed, however, is capable of measuring dissolved gases in low volatility fluids.
Poster Session: Defectivity and Manufacturing
icon_mobile_dropdown
Blob defect prevention in 193nm topcoat-free immersion lithography
Deyan Wang, Jinrong Liu, Doris Kang, et al.
In 193nm immersion lithography, immersion top coat was the first proposed technique for preventing the leaching of photoresist (resist) components, such as photoacid generator (PAG) and quencher base, into the immersion fluid (DI water). In this approach, the top coat is coated onto a resist film in a separate step including coating the top coat film and baking the film. This approach certainly adds extra cost to the device manufacturing and incurs reduced throughput as compared to the dry lithography process. The embedded barrier layer (EBL) technology1-5 developed at Dow Electronic Materials has been demonstrated to be a revolutionary approach, in which a suitable EBL material is formulated into an existing resist, and in a spin coating process the EBL material comes to the resist surface to forms a leaching barrier in situ. This approach has now been widely accepted and implemented in the integrated circuit manufacturing industry for replacing the conventional immersion top coat process. In addition to being an excellent leaching barrier, EBL materials, in general, result in a resist surface with a high receding angle for water. This property makes the EBL approach more desirable in topcoat free immersion lithography, since it allow for the latest scanners to perform at their maximum scan speed without generating watermark defects. For immersion lithography, the most important issue for mass production is defectivity control. This is true for both top coat and topcoat free approaches. In the top coat approach, the formulation optimization for both top coat and resists was extensively involved for this technique finally to reach an acceptable defectivity level for mass production of semiconductor devices. As a later developed technology, the EBL approach has gone through a series of research and development stages particularly in material innovation to reach the same low defectivity level as that of an immersion top coat process. After achieving the target of low defectivity in lithography, the challenges left to the EBL approach were to solve high defectivity in bulk exposed and bulk unexposed regions, which became prominent in both bright field and dark field lithographic applications. To solve the high defectivity issues, a thorough understanding of the blob defect formation mechanism was imperative. In this paper, the defect formation mechanism in both bulk exposed and unexposed regions is proposed, and this proposed mechanism is applicable not only to the EBL approach but also to the immersion top coat approach in general.
Solvent pre-wetting as an effective start-up method for point-of-use filter
Toru Umeda, Shinichi Sugiyama, Takashi Nakamura, et al.
An effective filter start-up method has been required by device manufacturers, mainly in order to reduce waste volume of lithography process chemicals, which become more expensive as lithography technology advances. Remaining air was monitored during static-pressure-driven filter start-up. As a result, 3500 ml of the resist was needed to eliminate remaining air. For improvement, cyclohexanone pre-wetting was applied prior to the resist introduction. As a result, the resist volume needed for the solvent displacement was 1900 ml, approximately half the volume required for staticpressure- driven start-up. Other solvents were evaluated for the pre-wetting start-up method. Results, in descending order of performance were PGME (best) < PGMEA = IPA < cyclohexanone (worst). Moreover, air displacement performance strongly correlated with Hansen solubility parameter distance between each solvent and nylon 6,6 material.
The filter adsorption mechanism in photoresist materials
Recently nylon filters have been widely implemented in photolithography processes to improve the yields because many IC and photoresist manufacturers have empirical evidence indicating that the nylon membrane can adsorb impurities. However, the mechanism by which the nylon membrane reduces defects is unclear. It is useful to study different defect-causing mechanisms by focusing on the particular components of photoresists. In this paper various adsorption tests were performed utilizing surface modification and different photoresist components to measure the effect of nylon membranes on resist properties, including surface tension, PAG (photoacid generator) concentration and quencher concentration. Ultimately, the study hopes to determine the most effective way to increase yields by focusing on how to best implement a nylon filtration strategy.
Post-developed defect in word-line SADP process
A post-developed defect unlike the traditional satellite spot was found in the self-aligned double patterning (SADP) process flow. The defects tend to happen around boundary adjacent to the clear pattern area and finally yield pattern distortion or bridging (called "distortion" hereafter). This distortion defect has been characterized as yield killer since it causes word-line bridging after etching. This paper will describe the effect of resist type, top anti-reflective coating (TARC), various development puddle/rinse schemes, hard bake (HB) and advanced defect reduction (ADR) function on the distortion defect performance. TARC has been indentified as an effective solution to reduce the conventional satellite defect but the experimental result on eliminating the distortion defect is not obvious. In resist processing, post-developed HB temperature showed strong correlation to the distortion defect count. The distortion defect reduces as lowering the HB temperature, and furthermore the defect can be fully eliminated by experimentally skipping the HB step. The combination of multiple cycles of wafer agitation in the development puddle, double development puddle and scanning rinse significantly suppresses the defect count. However, the aggressive development recipe has made the process time too long to be acceptable for mass production. To minimize the throughput loss, ADR is another solution to eliminate the distortion defect.
Pattern dependent satellite defects in via lithography
Chih-Chieh Yu, Mars Yang, Elvis Yang, et al.
In patterning the via-hole, uneven hole-size and missing-hole defects were identified through after etch inspection (AEI), and these defects were characterized as yield killer since it led to electrical open. Through the after development inspection (ADI) and AEI comparison, the uneven hole-size and missing-hole defects are attributed to the postdeveloped satellite spots. The distribution of satellite spots always show a strong photo field map that is discovered to correlate with the local pattern density in mask scribe lane. Apart from the possible modifications on pattern density in the scribe lane by retooling the photo mask, this paper describes the work done in reducing the satellite defect. Several development experiments including multiple wafer agitation cycles of dynamic puddle, multiple cycles of scanning rinse, pre-wet before development, wafer rotation speed in rinse, wafer rotation speed in drying and advanced defect reduction (ADR) function of track were carried out. The multiple cycles of scanning rinse coupling with the optimal wafer rotation speed of rinse effectively suppresses the count of satellite spots. Pre spin dry in advance of the deionized water (DIW) rinse to minimize the pH shock is also effective to reduce the defect count. Multiple cycles of development puddle and scanning rinse have a synergy effect to lower defectivity up to complete suppression of satellite defect. To minimize the throughput loss induced by the long development time, ADR is proposed as better candidate for fully eliminating the satellite defect.
Resist process optimization for further defect reduction
Keiichi Tanaka, Tomohiro Iseki, Hiroshi Marumoto, et al.
Defect reduction has become one of the most important technical challenges in device mass-production. Knowing that resist processing on a clean track strongly impacts defect formation in many cases, we have been trying to improve the track process to enhance customer yield. For example, residual type defect and pattern collapse are strongly related to process parameters in developer, and we have reported new develop and rinse methods in the previous papers. Also, we have reported the optimization method of filtration condition to reduce bridge type defects, which are mainly caused by foreign substances such as gels in resist. Even though we have contributed resist caused defect reduction in past studies, defect reduction requirements continue to be very important. In this paper, we will introduce further process improvements in terms of resist defect reduction, including the latest experimental data.
Effects of nylon filter properties on contact-hole photoresist imaging performance and defectivity
M. Cronin, N. Vitorino, V. Monreal, et al.
Previous studies of contact-hole photoresist-performance1 with various filter membranes demonstrated that UPE (ultra high molecular weight polyethylene) membranes are effective in reducing defectivity with minimal changes in the resist's imaging properties. In the same study, nylon membrane filters, known to have absorptive properties, altered the lithographic imaging performance of the photoresist and produced higher overall defectivity. This study more closely examines the absorption effects of nylon membrane on contact hole photoresist and attempts to quantify changes to the photoresist by measuring the change in lithographic performance, and its' effect on defectivity. Additionally, this study provides recommendations on the filtration parameters which take advantage of the absorptive capability of the nylon membrane, while minimizing the changes to the lithographic performance of the photoresist.