Proceedings Volume 8249

Advanced Fabrication Technologies for Micro/Nano Optics and Photonics V

cover
Proceedings Volume 8249

Advanced Fabrication Technologies for Micro/Nano Optics and Photonics V

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 21 March 2012
Contents: 10 Sessions, 40 Papers, 0 Presentations
Conference: SPIE MOEMS-MEMS 2012
Volume Number: 8249

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 8249
  • STED Lithography Focus Session
  • Laser-Based Fabrication I
  • Micro and Nano Optics
  • 3D Lithography
  • Advanced Lithography I
  • Photonic Device Fabrication
  • Laser-Based Fabrication II
  • Advanced Lithography II
  • Poster Session
Front Matter: Volume 8249
icon_mobile_dropdown
Front Matter: Volume 8249
This PDF file contains the front matter associated with SPIE Proceedings Volume 8249, including the Title Page, Copyright information, Table of Contents, and the Conference Committee listing.
STED Lithography Focus Session
icon_mobile_dropdown
Elucidating the kinetics and mechanism of RAPID lithography
Michael P. Stocker, John T. Fourkas
Multiphoton absorption polymerization (MAP) is a powerful photolithographic technique that is capable of producing complex, three-dimensional structures. One key to improving the resolution of MAP is to employ photoinitiators that can be photodeactivated. This approach is known as resolution augmentation through photo-induced deactivation (RAPID). To enhance the efficiency and resolution of RAPID, it is necessary to develop a deeper understanding of the photochemistry of the molecules used to initiate polymerization. To study the nature of the active intermediate species capable of self-deactivation, here we present experiments in which the exposure and the timing of excitation and deactivation are varied for photopolymerization reactions occurring at a single location within a photoresist as well as experiments in which the dependence of feature size on fabrication velocity is determined.
Materials development for photo-inhibited super-resolution (PINSR) lithography
Darren L. Forman, Gerrit L. Heuvelman, Robert R. McLeod
Progress in materials for radical initiated, radical inhibited super-resolution lithography is reported. The photochemistry and optical system is described, with a brief discussion on the theory of operation. A motivation is presented for developing a new material that may be used as a spinnable photoresist, and qualitative resist requirements are discussed. Results from FTIR experiments suggest how viscosity and monomer type may affect resist performance. Finally, focused beam photoinhibition experiments on a novel photoresist are presented.
Laser-Based Fabrication I
icon_mobile_dropdown
Synthesis of super-dense phase of aluminum under extreme pressure and temperature conditions created by femtosecond laser pulses in sapphire
Vygantas Mizeikis, Arturas Vailionis, Eugene G. Gamaly, et al.
We describe synthesis of a new super-dense phase of aluminum under extreme pressure and temperature conditions created by laser-induced microexplosions in sapphire. Micro explosions in sub-micrometer sized regions of sapphire were induced by tightly-focused femtosecond laser pulses with a temporal length of ~ 100 fs and an energy of ~ 100 nJ. Fast, explosive expansion of photogenerated high-density plasma created strong heating and pressure transients with peak temperature and pressure of ~ 105 K and 10 TPa, respectively. Partial decomposition of sapphire in the shock-compressed sapphire led to formation of nanocrystalline bcc-Al phase, which is different from ambient fcc-Al phase, and was permanently preserved by fast quenching. The existence of super-dense bcc-Al phase was confirmed using X-ray diffraction technique. This is the first observation of bcc-Al phase, which so far has been only predicted theoretically, and a demonstration that laser-induced micro explosions technique enables simple, safe and cost-efficient access to extreme pressures and temperatures without the tediousness typical to traditional techniques that use diamond anvil cells, gas guns, explosives, or megajoule-class lasers.
Effect of configuration of the microchannels fabricated by femtosecond laser micromachining on topological defects in confined liquid crystals
The Femtosecond laser micromachining is a versatile tool for fabrication of microfluidic channel network; we exploit the fast prototyping capability of this technology to produce various channel configurations and study the alignment and topological defects in microchannels filled with Liquid crystals. The configurations consist of multiple intersections of microchannels to form networks both in 2D and 3D. The effect of each configuration on the defect formations is also studied.
Micro and Nano Optics
icon_mobile_dropdown
High precision fabrication of polarization insensitive resonant grating filters
R. R. Boye, D. W. Peters, J. R. Wendt, et al.
Resonant subwavelength gratings have been designed and fabricated as wavelength-specific reflectors for application as a rotary position encoder utilizing ebeam based photolithography. The first grating design used a two-dimensional layout to provide polarization insensitivity with separate layers for the grating and waveguide. The resulting devices had excellent pattern fidelity and the resonance peaks and widths closely matched the expected results. Unfortunately, the gratings were particularly angle sensitive and etch depth errors led to shifts in the center wavelength of the resonances. A second design iteration resulted in a double grating period to reduce the angle sensitivity as well as different materials and geometry; the grating and waveguide being the same layer. The inclusion of etch stop layers provided more accurate etch depths; however, the tolerance to changes in the grating duty cycle was much tighter. Results from these devices show the effects of small errors in the pattern fidelity. The fabrication process flows for both iterations of devices will be reviewed as well as the performance of the fabricated devices. A discussion of the relative merits of the various design choices provides insight into the importance of fabrication considerations during the design stage.
Monolithic fabrication and performance control of multilayered, polarization sensitive, guided-mode resonance filters
An efficient monolithic fabrication technique of multiple Guided-Mode Resonance Filter (GMRF) devices on a single substrate is presented. The devices consist of two crossed linear sub-wavelength grating (SWG) dielectric layers, formed by etching deposited silicon oxide films, separated by a silicon nitride waveguide. The buried SWG duty cycle is lithographically modulated to control the device resonance wavelengths, independent of the top SWG. This is because the buried SWG acts as a tunable effective index layer, controlling the waveguide mode coupling wavelength into the silicon nitride waveguide layer. The two SWG have different spatial periods, to further reduce resonance coupling between them. The fabrication is accomplished using existing photolithographic technology, and conventional PECVD coating techniques.
Ultrafast diffractive optical micro-trap arrays for neutral atom quantum computing
S. A. Kemme, G. R. Brady, A. R. Ellis, et al.
We design and fabricate arrays of diffractive optical elements (DOEs) to realize neutral atom micro-traps for quantum computing. We initialize a single atom at each site of an array of optical tweezer traps for a customized spatial configuration. Each optical trapping volume is tailored to ensure only one or zero trapped atoms. Specifically designed DOEs can define an arbitrary optical trap array for initialization and improve collection efficiency in readout by introducing high-numerical aperture, low-profile optical elements into the vacuum environment. We will discuss design and fabrication details of ultra-fast collection DOEs integrated monolithically and coaxially with tailored DOEs that establish an optical array of micro-traps through far-field propagation. DOEs, as mode converters, modify the lateral field at the front focal plane of an optical assembly and transform it to the desired field pattern at the back focal plane of the optical assembly. We manipulate the light employing coherent or incoherent addition with judicious placement of phase and amplitude at the lens plane. This is realized through a series of patterning, etching, and depositing material on the lens substrate. The trap diameter, when this far-field propagation approach is employed, goes as 2.44λF/#, where the F/# is the focal length divided by the diameter of the lens aperture. The 8-level collection lens elements in this presentation are, to our knowledge, the fastest diffractive elements realized; ranging from F/1 down to F/0.025.
Fabrication of optically monolithic, low-index guided mode resonance filters
This paper presents a narrow spectral filter based on a monolithic material system. Guided-mode resonance is achieved by embedding a periodic array of air holes within a similar-index material. Microvoids created in the lowindex substrate during deposition of the waveguide give a relatively high index contrast for guided-mode resonance. One and two-dimensional gratings are used to examine polarization dependence of the device. Theoretical and experimental results are provided, demonstrating a roughly six nanometer resonance at the full width half-maximum for both geometries.
HSQ resist for replication stamp in polymers
M. R. Saleem, P. A. Stenberg, M. B. Khan, et al.
We investigated an affordable, accurate and large scale production method to fabricate sub-wavelength grating structures by replication in polycarbonate substrates by hot embossing. We used hydrogen silsesquioxane (HSQ) a high resolution, binary, inorganic, negative electron beam resist, on silicon substrate to make a stamp for replication. We fabricated the stamp on silicon by using HSQ-resist without any etching process with simple process steps. The process starts by depositing an HSQ-resist layer on a silicon substrate and by a measurement of the desired film thickness by adjusting the spinning speed and time. The resist material is then subjected to e-beam writing followed by a heat treatment to enhance the hardness and to reveal properties analogous to solid SiO2 as a hot embossing stamp material. A comparison study is made with and without the etching process with different etching rates. We demonstrate that an effective and inexpensive stamp for thermal nano-imprint lithography (NIL) for optical gratings is provided without an etching process, which gives a uniform imprinting density over the entire grating surface and high imprint fidelity. The reflectance spectra of replicated grating structures are also shown to be in agreement with theoretical calculations.
Spatially and spectrally varying guided mode resonant filter by modifying the waveguide layer
In this paper, we will present the concept, fabrication methods, and simulation results of a novel type of Graded Transmissivity Optic based on a space variant Guided Mode Resonance Filter (GMRF). This GMRF comprised of a single dielectric layer deposited on a transparent substrate. The layer is PECVD grown Silicon Nitrirde with a subwavelength grating (SWG) partially etched through it. The unetched portion of the layer is termed the waveguiding region. When light is incident upon the GMRF at the resonant wavelength, the SWG couples light into a waveguide mode. However, due to the SWG on the waveguide, this mode is leaky and re-couples the light back towards the source. The resonance of the GMRF is a function of the optical properties of the materials used; the thickness of the dielectric layers; and the period and fill-fraction of the SWG. The resonance will change across the device by slowly varying the thickness of the waveguiding layer. Previous work has varied the resonance across the structure by varying the fill fraction of the grating. The methods involved in the previous work made that process usable for only a very narrow range of wavelengths, however this new method will be scalable to a larger wavelength range. The waveguiding layer will be sculpted using Additive Lithography and ICP etching. Afterwards the SWG will be patterned into the Silicon Nitride Layer.
3D Lithography
icon_mobile_dropdown
Thermal and optical properties of sol-gel and SU-8 resists
Toshiyuki Suzuki, Junko Morikawa, Toshimasa Hashimoto, et al.
We report on a combined differential scanning calorimetric (DSC) and Raman scattering study of thermal polymerization of sol-gel organic-inorganic SZ2080 and SU-8 resists. In SZ2080, endothermic peak at 95°C signify drying of the resist and justifies the required pre-bake at around 100°C for 1-2 h for the best performance during femtosecond (fs-)direct laser writing. A strong exothermic peak at 140°C (under 2 K/min heating rate) completes polymerization of the resist. It is revealed that 1wt% of photoinitiators change Raman scattering intensity of SZ2080 and can contribute efficiently to heating and cross-linking of photo-polymers. In the case of SU-8, a 65°C DSC feature related to solvent evaporation was observed. The strongest changes in Raman spectrum occurs at a narrow 895 cm-1 band which is linked to polymerization. Raman scattering taken during DSC revealed spectral changes following the polymerization; an applicability of this method for monitoring photopolymerization induced by ultra-fast laser sources and feasibility of a laser-modulated calorimetry is discussed.
3D microstructures fabricated by prism-assisted inclined UV lithography
Three-dimensional (3D) microstructures are fabricated by prism-assisted inclined ultraviolet (UV) lithography. The exposure angles of slanted structures ranging from 0° to 65° in SU-8 photoresist can be easily achieved without immersion in index matching liquid. The sample surface reflection of UV light can be utilized for the fabrication of symmetric structures. Tripod structures have been fabricated by one-step UV exposure with corner prism. Examples of various achievable 3D microstructures are presented.
Active and adaptive optical methods for rapid fabrication of 3D photonic structures
Patrick Salter, Richard Simmonds, Martin J. Booth
We outline recent research into the application of adaptive optical techniques to the laser fabrication of threedimensional structures with sub-micrometer precision. Aberration correction can be implemented using deformable mirrors or liquid crystal spatial light modulators (LCSLMs). The correction ensures that the quality of the laser focus is maintained when focussing at depth into a material with high refractive index. Flexible parallel fabrication methods have been implemented using a LCSLM through both holographic beam shaping and an addressable microlens array. Applications have been shown in a range of high index materials, including diamond, lithium niobate and glasses.
Material processing with 12 femtosecond picojoule laser pulses
Karsten König, Martin Licht, Martin Straub, et al.
Extremely short near infrared laser pulses (e.g. 10 fs) offer the possibility of precise sub-100nm processing without collateral side effects. Furthermore, the can be employed to excite a variety of absorbers simultaneously due to their broad 100 nm emission band. We demonstrate two-photon fluorescence imaging of green and red fluorescent proteins in living cells as well as two-photon nanolithography with 12 fs laser pulses (750-850 nm) at low microwatt mean power using an 85 MHz laser resonator. At a minimum of 400 μW mean power, direct nanoprocessing in blood cells was realized. Multiphoton ablation in biological specimens follows a P2/τ relation. We were able to create sub-100nm ripples in silicon wafers, to cut glass, gold, and polymers as well as to create transient nanoholes in the membranes of living stem cells and cancer cells for targeted transfection.
Advanced Lithography I
icon_mobile_dropdown
Rolling mask nanolithography: the pathway to large area and low cost nanofabrication
The demand for large area and low cost nanopatterning techniques for optical coatings and photonic devices has increased at a tremendous rate. At present, it is clear that currently available nanopatterning technologies are unable to meet the required performance, fabrication-speed, or cost criteria for many applications requiring large area and low cost nanopatterning. Rolith Inc proposes to use a new nanolithography method - "Rolling mask" lithography - that combines the best features of photolithography, soft lithography and roll-to-plate printing technologies. We will report on the first results achieved on a recently built prototype tool and cylindrical mask, which was designed to pattern 300 mm wide substrate areas.
Fabrication of eight-channel array single-mode waveguides via vacuum assisted microfluidics
Sarfaraz Baig, Guomin Jiang, Qunhui Sun, et al.
We report on the fabrication of an eight-channel single-mode waveguide array via vacuum assisted microfluidic soft lithographic technique. The incorporation of sectional flow tapers perpendicular to the waveguide direction allows for the realization of long single-mode channel waveguide arrays, thus overcoming the waveguide length limitation set by the viscosity of the UV curable resin. The refractive index and other properties of the synthesized UV curable core waveguide resin can be tuned through the reformulation of material composition.
Submicrometer pattern generation by diffractive mask-aligner lithography
Uwe D. Zeitner, Lorenz Stuerzebecher, Torsten Harzendorf, et al.
A novel technique for the fabrication of high resolution sub-micrometer patterns by diffractive proximity lithography in a mask-aligner is presented. The technique is based on the use of specially designed diffractive photo-masks. It requires some small modifications of the mask-aligner, especially for the mask illumination and the settings of the proximity gap between mask and substrate. The huge potential of this novel technique is demonstrated at the example of structures having lateral feature sizes in the sub-500nm range printed with mask-to-substrate distances of several ten micrometers.
Wafer scale fabrication of submicron chessboard gratings using phase masks in proximity lithography
Lorenz Stuerzebecher, Torsten Harzendorf, Frank Fuchs, et al.
One and two dimensional grating structures with submicron period have a huge number of applications in optics and photonics. Such structures are conventionally fabricated using interference or e-beam lithography. However, both technologies have significant drawbacks. Interference lithography is limited to rather simple geometries and the sequential writing scheme of e-beam lithography leads to time consuming exposures for each grating. We present a novel fabrication technique for this class of microstructures which is based on proximity lithography in a mask aligner. The technology is capable to pattern a complete wafer within less than one minute of exposure time and offers thereby high lateral resolution and a reliable process. Our advancements compared to standard mask aligner lithography are twofold: First of all, we are using periodic binary phase masks instead of chromium masks to generate an aerial image of high resolution and exceptional light efficiency at certain distances behind the mask. Second, a special mask aligner illumination set-up is employed which allows to precisely control the incidence angles of the exposure light. This degree of freedom allows both, to shape the aerial image (e. g. transformation of a periodic spot pattern into a chessboard pattern) and to increase its depth of focus considerably. That way, our technology enables the fabrication of high quality gratings with arbitrary geometry in a fast and stable wafer scale process.
Photonic Device Fabrication
icon_mobile_dropdown
Tuning of random rough surface statistics for optoelectronics
Vincent Brissonneau, Ludovic Escoubas, François Flory, et al.
Optical surface structuration is of primary interest for applications such as photovoltaics or photodetectors. Over last years, periodical patterns allowing antireflective effects with efficient properties have been designed and fabricated. Some specific issues such as diffraction of undesired high energy orders are a direct consequence of the periodical nature of this kind of pattern. Random rough surfaces allow the antireflective effect without these undesired diffraction effects. By tuning their statistics, random rough surfaces offer new degrees of freedom for antireflection but also for controlling the scattering (polarization, spatial distribution). The two main parameters of such surfaces are the height probability density function and the autocorrelation function. The height probability density function carries information about height of the structures. The autocorrelation function is a representation of the lateral distribution of the surface. Our photofabrication method uses a speckle pattern recorded on a photoresist. By controlling the exposure parameters, such as the number of exposure and the beam intensity distribution, one is able to control the statistics of the speckle, and so of the photofabricated surfaces. Using a chromatic confocal sensor, height mapping of these surfaces are performed. From these mappings, the height probability density and the correlation function are calculated. The experimental statistics are compared with the predicted theoretical ones showing a good agreement. Results are presented showing a significant modification of the statistics of the photofabricated surfaces.
High precision geometrical characterization and alignment of miniaturized optics
Miniaturized optical systems like endoscopy or cell phone lenses systems comprise several optical elements like lenses, doublets and plane optics. To receive a good imaging quality the distances and angles between the different optical elements have to be as accurate as possible. In the first step we will describe how the distances and angles between different elements can be monitored and finally we will describe a technique to actively align small optics (diameter approx. 1mm and smaller) with respect to each other. For the measurement electronic autocollimators combined with white-light-interferometers are used. The electronic autocollimator reveals the exact centration errors between optical elements and the low coherence interferometer reveals the distances between surfaces. The accuracy of the centration error measurement is in the range of 0.1μm and the accuracy of the distance measurement is 1μm. Both methods can be applied to assembled multi-element optics. That means geometrical positions of all single surfaces of the final optical system can be analysed without loss of information. Both measurement techniques complement one another. Once the exact x,y,z - Position of each optical surface and element is known computer controlled actuators will be used to improve the alignment of the optics. For this purpose we use piezo-electric-actuators. This method had been applied to cement e.g. doublets for endoscope optics. In this case the optical axis of one lens has been aligned with respect to the optical axis of a second reference lens. Traditional techniques usually rely on an uncertain mechanical reference.
Gallium-nitride-based logpile photonic crystals for visible lighting
G. Subramania, Q. Li, Y.-J. Lee, et al.
Photonic crystals (PC) can fundamentally alter the emission behavior of light sources by suitably modifying the electromagnetic environment around them. Strong modulation of the photonic density of states especially by full three-dimensional (3D) bandgap PCs, enables one to completely suppress emission in undesired wavelengths and directions while enhancing desired emission. This property of 3DPC to control spontaneous emission, opens up new regimes of light-matter interaction in particular, energy efficient and high brightness visible lighting. Therefore a 3DPC composed entirely of gallinum nitride (GaN), a key material used in visible light emitting diodes can dramatically impact solid state lighting. The following work demonstrates an all GaN logpile 3DPC with bandgap in the visible fabricated by a template directed epitaxial growth.
Programmed resist sidewall profiles using subresolution binary grayscale masks for Si-photonics applications
Ofir Gan, Paul Allen, Assia Barkai, et al.
In this paper we present a 45-degree mirror created for optical applications utilizing CMOS high-volume manufacturing processes with a gray-scale lithography technique. The process that is presented here was done by creating a 3D pattern in the photoresist and then by transferring the photoresist profile to the Si/SiO2 substrate by specific dry etch processing. We discuss the optimization of the half-tone pattern to achieve the desired resist profile. We achieved smooth sidewalls with various sidewall angles and show that different 3D angles and profiles can be achieved and processed simultaneously.
Laser-Based Fabrication II
icon_mobile_dropdown
Hybrid optics for three-dimensional microstructuring of polymers via direct laser writing
We present an immersion hybrid optics specially designed for focusing ultrashort laser pulses into a polymer for direct laser writing via two-photon polymerization. The hybrid optics enables well corrected focusing over a working distance range of 577 μm with a numerical aperture (NA) of 1.33 thereby causing low internal dispersion. We combine the concepts of an aplanatic solid immersion lens (ASIL) for achieving a high NA with the correction of aberrations with a diffractive optical element (DOE). To demonstrate the improvements for volume structuring of the polymer, we compare achievable feature sizes of structures written with our optics and a commercial available oil immersion objective (100x, NA=1.4).
Generating high DOF light by using tapered hollow tube in a lithography system
Chun-Yen Chen, Yu-Hsun Lee, Chih-Jen Chien, et al.
In this paper, we proposed a tapered hollow tube which can produce a near diffraction-limit spot and focus the incident light in far-field region. From previous researches, the sub-wavelength annular aperture (SAA) made on metallic film generates a Bessel beam in far-field region. Also, the traditional tapered fiber has been widely used in near-field scanning optical microscope (NSOM) to achieve super-resolution in near-field. Combining these two concepts, tapered hollow tube was shown to have great potential in creating a small sub-micron spot size and long depth of focus (DOF) emitted light beam. By using the commercially available capillary and fiber heat-pulling method, it was found that tube processed per design to be disclosed in this paper can achieve Bessel beam as well. It will be shown that the SAA-like structure was actually implemented by the geometry of the tube tip. From FDTD simulation and experiment, the emitted beam was identified to have more than 10 μm DOF and 250-300 nm focal spot excited by using the 408 nm laser source. These results not only can help us pursue lithography applied to create through silicon via (TSV) process in far-field region while maintaining near diffraction-limit spot size. The high throughput and side lobe became a serious problem when continuous incident light was used. To circumvent this problem, the incident light from was changed from continuous to pulse type and a suitable lithography experimental system designed by using three-axis displacement platform was developed. All results will be detailed in this paper.
Advanced Lithography II
icon_mobile_dropdown
Magnetostatic response of 3D metallic traces created using dynamic membrane projection lithography
The magnetostatic response of a variety of 3D metallic loop traces are studied numerically by evaluating the Biot- Savart law as a first step in understanding the radiative behavior of such structures. These numerical studies confirm that the magnetostatic behavior of localized planar and non-planar current distributions are equivalent to magnetic dipoles in the far field, however the near-field behavior of these traces can be quite different.
Fabrication of large arrays of plasmonic nanostructures via double casting
Large arrays of periodic nanostructures are widely used for plasmonic applications, including ultrasensitive particle sensing, optical nanoantennas, and optical computing; however, current fabrication processes (e.g., e-beam lithography and nanoimprint lithography) remain time consuming and expensive. Previously, researchers have utilized double casting methods to effectively fabricate large-scale arrays of microscale features. Despite significant progress, employing such techniques at the nanoscale has remained a challenge due to cracking and incomplete transfer of the nanofeatures. To overcome these issues, here we present a double casting methodology for fabricating large-scale arrays of nanostructures. We demonstrate this technique by creating large (0.5 cm × 1 cm) arrays of 150 nm nanoholes and 150 nm nanopillars from one silicon master template with nanopillars. To preclude cracking and incomplete transfer problems, a hard-PDMS/soft-PDMS (h-PDMS/s-PDMS) composite stamp was used to replicate the features from: (i) the silicon template, and (ii) the resulting PDMS template. Our double casting technique can be employed repeatedly to create positive and negative copies of the original silicon template as desired. By drastically reducing the cost, time, and labor associated with creating separate silicon templates for large arrays of different nanostructures, this methodology will enable rapid prototyping for diverse applications in nanotechnological fields.
Radio frequency plasma pre-treatment for selective electroless Ag coating of three-dimensional SU-8 microstructures
Three dimensional metamaterials are fabricated using direct laser writing in SU-8 polymer followed by an electroless coating process. A method has been developed to allow for selective electroless plating of SU-8 microstructures with a smooth conformal coating of Ag. The process utilizes radio frequency plasma pretreatment to modify the SU-8 surface so that Ag ions can nucleate on the surface, leaving the substrate uncoated. An array of split ring resonators and other 3D microstructures are used to demonstrate how the technique can be applied to metamaterials applications.
Exposure controlled projection lithography for microlens fabrication
Amit S. Jariwala, Robert E. Schwerzel, Harold A. Nikoue, et al.
An Exposure Controlled Projection Lithography (ECPL) process with the ability to fabricate microlenses on transparent substrates is presented. This process (also referred to as maskless lithography) can be used to create polymer microlenses on flat or curved substrates without involving hard tooling. Incident radiation, patterned by a dynamic mask, passes through a transparent substrate to cure photopolymer resin that grows progressively from the substrate surface. A process planning algorithm which incorporates the effects of optical aberrations present in the ECPL system and photopolymer's response to irradiation is presented. An interferometric process monitoring system is also presented which can be used to control the process in real time. Samples of micro optical elements fabricated on flat and non-planar substrates using the ECPL system are also presented, which demonstrate the wide range of fabrication capability of our ECPL process.
Optical microcavities fabricated using direct proton beam writing
Sudheer Kumar Vanga, Shuvan Prashant Turaga, Ee Jin Teo, et al.
Proton beam writing (PBW) is a high-resolution direct write lithographic technique suitable for the fabrication of micro/nano optical components with smooth vertical sidewalls. In the present work PBW was used to fabricate smooth micro cavities in negative tone photoresist SU-8 and Rhodamine B doped SU-8. Two different laser cavities based on whispering gallery mode resonators were fabricated using PBW. The laser cavities in Rhodamine B doped SU-8 resist were optically pumped with a pulsed frequency doubled Nd: YAG laser, and emits light in the chip plane at 643 nm. The presented laser cavities showed pump threshold as low as 3 μJ/mm2, which is the lowest threshold reported in planar cavities fabricated in Rhodamine B dye based polymer laser cavities.
Poster Session
icon_mobile_dropdown
Monitoring of the formation of a photosensitive device by electric breakdown of an impurity containing oxide in a MOS capacitor
Raffaele Di Giacomo, Giovanni Landi, Christian Boit, et al.
The formation of an photosensitive device due to the local breakdown in an MOS structure with an impurity containing oxide layer has been monitored. A stepwise breakdown of the oxide layer resulted in the formation of a diode like characteristics with further on stable current-voltage characteristics. Under illumination with white and blue light we found a high photosensitivity of the resulting structure, probably due to the formation of a local p-n junction due to out-diffusion from the oxide of n-type dopants into the underlying silicon substrate. Using a blue light LED illumination during the monitoring of the device formation enables the identification of the moment, when a high ratio between photo- and dark current is obtained.
Use of ALD thin film Bragg mirror stacks in tuneable visible light MEMS Fabry-Perot interferometers
Anna Rissanen, Riikka L. Puurunen
This paper discusses the use of ALD thin films as Bragg mirror structure materials in MEMS Fabry-Perot interferometers in the visible spectral range. Utilizing polyimide sacrificial layer in the FPI fabrication process is also presented as an alternative method to allow higher temperature (T= 300 °C) ALD FPI processing. ALD Al2O3 and TiO2 thin films grown at T= 110 °C are optically characterized to determine their performance in the UV - visible range (λ>200nm) and effects of the ALD temperature on the thin film stacks and the FPI process is discussed. Optically simulated 5-layer Bragg mirror stacks consisting of ALD Al2O3 and TiO2 for wavelengths between 420 nm and 1000 nm are presented and corresponding MEMS mirror membrane structures are fabricated at T= 110 °C and tested for their release yield properties. As a result, the applicable wavelength range of the low-temperature ALD FPI technology can be defined.
Optical characterization of subwavelength-scale solid immersion lenses
Myun-Sik Kim, Toralf Scharf, Mohammad Tahdiul Haq, et al.
We present the fabrication and optical characterization of nano-scale solid immersion lenses (nano-SILs) with sizes down to a subwavelength range. Submicron-scale cylinders fabricated by electron-beam lithography (EBL) are thermally reflowed to form a spherical shape. Subsequent soft lithography leads to nano-SILs on transparent substrates, i.e. glass, for optical characterization with visible light. The optical characterization is performed using a high-resolution interference microscope (HRIM) with illumination at 642 nm wavelength. The measurements of the 3D amplitude and phase fields provide information on the spot size and the peak intensity. In particular, the phase measurement is a more convincing proof of the Airy disc size reduction rather than the full-width at half maximum (FWHM) spot size. The focal spots produced by the nano-SILs show both spot-size reduction and enhanced optical intensity, which are consistent with the immersion effect. In this way, we experimentally confirm the immersion effect of a subwavelength-size SIL (d = 530 nm and h = 45 nm) with a spot reduction ratio of 1.35, which is less than the expected value of 1.5, most likely due to the slightly non-ideal shape of the nano-SIL.
Performance evaluation of direct laser lithography system for rotationally symmetric diffractive optical elements
We have developed a direct laser lithography system for fabrication of precision diffractive optical elements such as Fresnel zone plates and computer-generated holograms. The developed lithography system has possible working area up to 360 mm and minimum linewidth of 0.5 μm. To assure the performance of the lithography system, the performance evaluation was carried out on the moving stages, the writing head module, and the light source, respectively. In this paper, we report the performance evaluation including the standard uncertainties of each part, the combined standard uncertainty, and finally the expanded uncertainty to give a particular level of confidence.
Energy-dependent temperature dynamics in femtosecond laser microprocessing clarified by Raman temperature measurement
Tomoki Yoshino, Masato Matsumoto, Yasuyuki Ozeki, et al.
Focused femtosecond laser pulses can be used for fabricating photonic devices inside transparent materials. However, the processing mechanisms are not fully clarified. Previously, we investigated the local and rapid temperature dynamics of fused silica during femtosecond laser microprocessing by Raman temperature measurement. In this paper, we report on the energy-dependent temperature dynamics and the spatiotemporal evolution of heat. In the experiment, a Ti:sapphire laser system generated 80-fs pulses and a frequency-doubled Nd:YAG laser system generated 10-ns pulses. These pulses were used for microprocessing and Raman excitation, respectively. They were focused into the sample by a microscope objective. The sample was transferred mechanically during the processing to prevent multiple irradiations. The temperature at the focus was calculated from the ratio of the intensity of Stokes and anti-Stokes Raman scattering components of the measured spectrum. The measured temperature near the focal point decreased with different delays depending on the pulse energy. The spatial distribution of the temperature showed heat diffusion and temperature decrease. The measured temperature fitted well with the thermal diffusion model. In this way, energy-dependence of temperature dynamics and spatiotemporal evolution of heat were successfully investigated by using the present system.
Effect of reactive monomer on PS-b-P2VP film with UV irradiation
Poly(styrene-b-2-vinyl pyridine) (PS-b-P2VP) lamellar film which is hydrophobic block hydrophilic polyelectrolyte block polymer of 52 kg/mol -b- 57 kg/mol and PS-b-P2VP film with reactive monomer (RM257) were prepared for photonic gel films. The lamellar stacks, which is alternating layer of hydrophilic and hydrophobic part of PS-b-P2VP. We reported about the influence of reactive monomer on those photonic gel films. Added reactive monomer photonic gel film had higher absorbance than pure photonic gel films. And band gaps of the lamellar films shifted by the time of UV light irradiation. That Photonic gel films were measured with the UV spectrophotometer. As a result the photonic gel film with reactive monomer had more clear color. The lamellar films were swollen by DI water, Ethyl alcohol (aq) and calcium carbonate solution. Since the domain spacing of dried photonic gel films were not showing any color in visible wavelength. The band gap of the lamellar films were drastically shifted to longer wavelength swollen by calcium carbonate solution (absorbance peak 565nm→617nm). And the lamellar films were shifted to shorter wave length swollen by ethanol (absorbance peak 565nm→497nm). So each Photonic gel film showed different color.
Effects of electric fields on the photonic crystal formation from block copolymers
Taekun Lee, Jin-wook Ju, Won Ryoo
Effects of electric fields on the self-assembly of block copolymers have been investigated for thin films of polystyrene-bpoly( 2-vinyl pyridine); PS-b-P2VP, 52 kg/mol-b-57 kg/mol and 133 kg/mol-b-132 kg/mol. Block copolymers of polystyrene and poly(2-vinyl pyridine) have been demonstrated to form photonic crystals of 1D lamellar structure with optical band gaps that correspond to UV-to-visible light. The formation of lamellar structure toward minimum freeenergy state needs increasing polymer chain mobility, and the self-assembly process is accelerated usually by annealing, that is exposing the thin film to solvent vapor such as chloroform and dichloromethane. In this study, thin films of block copolymers were spin-coated on substrates and placed between electrode arrays of various patterns including pin-points, crossing and parallel lines. As direct or alternating currents were applied to electrode arrays during annealing process, the final structure of thin films was altered from the typical 1D lamellae in the absence of electric fields. The formation of lamellar structure was spatially controlled depending on the shape of electrode arrays, and the photonic band gap also could be modulated by electric field strength. The spatial formation of lamellar structure was examined with simulated distribution of electrical potentials by finite difference method (FDM). P2VP layers in self-assembled film were quaternized with methyl iodide vapor, and the remaining lamellar structure was investigated by field emission scanning electron microscope (FESEM). The result of this work is expected to provide ways of fabricating functional structures for display devices utilizing photonic crystal array.
Plasmon-enhanced optical photodiodes based on MEH-PPV polymer and fullerene blend on ITO
Fred Semendy, Priyalal Wijewarnasuriya, Greg Meissner
We report the fabrication of silver plasmon-enhanced photodiodes with a single active layer sandwich mixture, using ITO with Ag nanoparticles and poly (2-methoxy-5-(20-ethylhexyloxy)- 1,4-phenylenevinylene) (MEH-PPV):fullerene-C60 blend. Ag nanoparticles were created first by ebeam depositing 20 Å of Ag on ITO followed by RTA annealing under nitrogen at 250 °C for 30 min. Devices were fabricated using spin casting the blend over the ITO/Ag nanoparticles. After baking, Al metal was deposited on top of MEH-PPV fullerene-C60 blend using e-beam evaporation for the metal contacts. We observed enhanced absorbance due to the Ag nanoparticles and increased photo response by the fabricated photodetector. I-V characterization allowed us to determine the barrier height, diode ideality factor, and series resistance. The diode shows a non-ideal I-V behavior due to a high probability of electron and whole recombination in the depletion region or existence of tunneling current, or perhaps due to the presence of interfacial layer or series resistance. The photocurrent and the photoconductive behavior indicate that these devices can be used as solar cells.
Using a dwell-time increase to compensate for SLM pixelation-limited diffraction efficiency in DMHL
Dynamic maskless holographic lithography (DMHL) is a new micro-manufacturing technique that uses holograms to create patterns on a substrate instead of a mask. In DMHL, gratings and Fresnel lenses are displayed on nematic liquid crystal spatial light modulators (SLMs) to steer light to desired locations to expose sensitive photopolymers. Micro-manufacturing can be done in two modes, serial or parallel. Serial refers to a beam being scanned through a set of points and parallel refers to an entire intensity pattern being created at once. The field over which patterning can be performed is affected by the diffraction efficiency of the displayed hologram, the maximum possible spatial frequency of the SLM, and aliasing (light being steered to unintended spots due to mismatches between designed and displayed phase patterns). This paper presents a technique to compensate for these inherent inefficiencies by properly adjusting the amount of time spent by the beam at each point in the desired feature, the dwell-time, during the lithographic process. The relationship between the spatial frequency of the appropriate grating or Fresnel lens and the dwell time is discussed. Experiments are presented with and without this technique applied, and results show that feature uniformity is improved with dwell-time compensation.
Photoresist roughness characterization in additive lithography processes for the fabrication of phase-only optical vortices
The roughness on the surface of phase-only micro-optical elements can limit their performance. An optical vortex phase element was fabricated by using additive lithography with an optimized process to have minimal surface roughness. Thick photoresist was used in order to obtain the appropriate dynamic range for the desired phase profile. We investigated the effects of both post applied and post exposure baking processes, as well as the effects of surfactant in the developer. We found the resist surface roughness to be a function of both the temperature and the time of the respective bakes, as well as the developer surfactant content.