Proceedings Volume 7972

Advances in Resist Materials and Processing Technology XXVIII

cover
Proceedings Volume 7972

Advances in Resist Materials and Processing Technology XXVIII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 18 April 2011
Contents: 18 Sessions, 94 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2011
Volume Number: 7972

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 7972
  • Invited Session
  • Novel Processing Special Topic: Negative-Tone Development I
  • EUV I: Joint Session with Conference 7969
  • Pitch Reduction and Double Patterning
  • Resist Fundamentals
  • Novel Processing Special Topic: Negative-Tone Development II
  • Directed Self-Assembly I: Selected Semiconductor Applications: Joint Session with Conference 7970
  • Simulation of Lithographic Phenomena
  • EUV II: Joint Session with Conference 7969
  • Novel Materials and Processing I
  • Novel Materials and Processing II
  • Poster Session: EUV
  • Poster Session: Negative Tone
  • Poster Session: Resist Fundamentals
  • Poster Session: Novel Materials
  • Poster Session: Novel Processes
  • Poster Session: Manufacturing
Front Matter: Volume 7972
icon_mobile_dropdown
Front Matter: Volume 7972
This PDF file contains the front matter associated with SPIE Proceedings Volume 7972, including the Title Page, Copyright information, Table of Contents, Introduction (if any), and the Conference Committee listing.
Invited Session
icon_mobile_dropdown
Critical challenges for EUV resist materials
Patrick P. Naulleau, Christopher N. Anderson, Lorie-Mae Baclea-an, et al.
Although Extreme ultraviolet lithography (EUVL) is now well into the commercialization phase, critical challenges remain in the development of EUV resist materials. The major issue for the 22-nm half-pitch node remains simultaneously meeting resolution, line-edge roughness (LER), and sensitivity requirements. Although several materials have met the resolution requirements, LER and sensitivity remain a challenge. As we move beyond the 22-nm node, however, even resolution remains a significant challenge. Chemically amplified resists have yet to demonstrate the required resolution at any speed or LER for 16-nm half pitch and below. Going to non-chemically amplified resists, however, 16-nm resolution has been achieved with a LER of 2 nm but a sensitivity of only 70 mJ/cm2.
Materials challenges for sub-20nm lithography
This paper discusses the future of resist materials for sub-20nm lithography. It is my contention that polymer-bound PAG based resists will be used to 16nm node. There has been enough progress in resolution and sensitivity to justify the use of these materials. PBP resists have shown that the principal demerit of acid diffusion can be overcome through attachment of the PAG anion to the lithographic polymer. Since the introduction of this chemically amplified resist approach, we have seen steady improvement in resolution, sensitivity, and LWR. We have also seen improvement in OOB response, outgassing, and pattern collapse. There is no doubt that continuous improvement is still required for these resist systems. We believe that increasing the overall resist quantum yield for acid generation substantially improves the shot noise problem thereby leading to faster high resolution resist materials. Using a 0.30NA EUV tool with dipole, we can achieve 22nm hp resolution, with 12mJ dose, and 4.2nm LWR.
Novel Processing Special Topic: Negative-Tone Development I
icon_mobile_dropdown
Solvent development processing of chemically amplified resists: chemistry, physics, and polymer science considerations
Christopher K. Ober, Christine Ouyang, Jin-Kyun Lee, et al.
Solvent development of chemically amplified (CA), negative tone photoresists depends on several factors including molecular weight of the photoresist, the strength of polymer-solvent interactions, and the strength of polymer-polymer interactions in the undeveloped regions. Absent are the ionic interactions present in the aqueous base development of CA resists that greatly aids dissolution and image contrast. In its place, strong hydrogen bonding of the exposed photoresist leads to effective resistance to dissolution in non-polar developers. These effects are discussed in the context of Flory- Huggins theory. As part of a study of low environmental impact developers several, non-polar solvents have been investigated with negative tone, chemically amplified photoresists. These include supercritical CO2, hydrofluoroethers and silicone fluids. Each of these solvents has low surface energy, unique dissolution characteristics and is capable of developing sub-50 nm patterns. Performance aspects of these developers will be described.
Fundamental investigation of negative tone development (NTD) for the 22nm node (and beyond)
Guillaume Landie, Yongan Xu, Sean Burns, et al.
In this work, we investigate the Negative Tone Develop (NTD) process from a fundamental materials/process interaction perspective. Several key differences exist between a negative tone develop process and a traditional positive tone develop system. For example, the organic solvent dissolves the unexposed material, while the deprotected resist remains intact. This causes key differences in key patterning properties, such as pattern collapse, adhesion, remaining resist, and photoresist etch selectivity. We have carried out fundamental studies to understand these new interactions between developer and remaining resist with negative tone develop systems. We have characterized the dynamic dissolution behavior of a model system with a quartz crystal microbalance with both positive and negative tone solvent developers. We have also compared contrast curves, and a fundamental model of image collapse. In addition, we present first results on Optical Proximity Correction (OPC) modeling results of current Negative Tone Develop (NTD) resist/developer systems.
Developer effect on the negative tone development process under low NILS conditions
Young C. Bae, Seung-Hyun Lee, Rosemary Bell, et al.
The effect of solvent developers on the negative tone development process was studied in both dry and immersion 193 nm lithography. While acetate-based solvent developers yielded sharp thickness contrasts with a minimum resist thickness loss, severe missing contact holes were observed under lower image-log slope conditions. In contrast, ketone-based solvent developers yielded excellent contact hole performance under poor aerial image conditions without the formation of missing contact holes. However, it was observed that ketone-based solvent developers can cause poor thickness contrasts with more resist thickness loss. By using additives in the ketone-based developers, it was possible to tailor various resist performance parameters such as photospeeds, critical dimension uniformity, resist thickness retention, and dissolution rate contrasts. It was found that higher dissolution rate contrast can give better uniformity in the critical dimension, better thickness retention and less missing contact holes.
EUV I: Joint Session with Conference 7969
icon_mobile_dropdown
Assessment of resist outgassing related EUV optics contamination for CAR and non-CAR material chemistries
EUV lithography is expected to be the key lithography option for sub-22nm device manufacturing. In order to meet the required imaging capability, resist performance improvements are being investigated by exploring both chemically amplified resists (CAR) and non-CAR chemistries. Another critical item related to resist chemistry is the EUV irradiation induced outgassing and its risk for optics contamination, especially towards high source power (pre-) productions tools. In this area it is important to characterize for the different chemistries which resist components are critical for EUV induced outgassing and - more important - which can result in non-cleanable mirror contamination. In this paper, we will explore the outgassing and contamination behavior of CAR and non-CAR resist by using Residual Gas Analysis (RGA) for identifying the resist outgassing characteristics, and by Witness Sample (WS) testing to evaluate the tendency for contamination. For CAR resists, it has been found that the PAG cation is a key component contributing to the contamination, but its impact can be changed by changing the resist formulation. In this investigation several model resists have been evaluated in order to understand which chemical components have - or don't have - an impact on the contamination. This has led to a proposal of a definition for a resist family. For non-CAR materials, the investigation has focused to a number of example resists. Most results are related to poly(-olefin sulfones), which have been proven to be good candidate materials for outgassing and contamination learning. The tests have confirmed that aromatic groups present in resist outgassing are playing an important role. As an opposite example of non-CAR material, the inorganic Inpria resist was tested, which revealed that its resist outgassing (water and oxygen) can remove carbon contamination. The combined work on CAR and non-CAR outgassing and contamination has learned significantly on the relationship between resist chemistry, its outgassing and contamination, and provided understanding on how to design good performing EUV resists with minimal risk for optics contamination in EUV device manufacturing.
Performance of EUV molecular resists based on fullerene derivatives
This paper summarizes the development of EUV molecular resists based on fullerene derivatives: the lithographic evaluation results of EUV resists using a small-field exposure tool (SFET). Moreover this is the first report on the application of fullerene-based molecular resists to half-pitch (hp) 3x-nm test device fabrication using a full-field step-and-scan exposure tool (EUV1).
Characterizing polymer bound PAG-type EUV resist
Hiroshi Tamaoki, Shinji Tarutani, Hideaki Tsubaki, et al.
Blurs, swelling properties and lithographic performance for polymer bound PAG and polymer PAG blended type resists were studied. A Blur strongly depends on PAG size and the polymer bound PAG type resist reduces the Blur. The Blur for the polymer bound PAG type resist is smaller than that for ZEP (non CAR). That indicates that polymer bound PAG should reduce secondary electron diffusion. The polymer bound PAG type resist acquires very small Blur with higher sensitivity and suppresses swelling very well, therefore polymer bound PAG is one of the promising technologies that improve Resolution, LWR and sensitivity (RLS) property. RLS property on EUV exposure tool is significantly improved by using the polymer bound PAG type resist. Resolution reaches 24nmhp and is limited by pattern collapse and line breaking. Further lithographic experiments on EB exposure tool which has higher NILS than EUV exposure tool were carried out in order to make clear relation between Blur and resolution. The resolution of the polymer bound PAG type resist reaches 17.5nmhp with 35nm thickness and there is possibility that the resolution of an optimized polymer bound PAG type resist reaches under 15nmhp. The resolution of the resist with lower capillary force (C.F.) given and lower swelling and on higher NILS exposure tool strongly depends on the Blur.
Pitch Reduction and Double Patterning
icon_mobile_dropdown
Novel approaches to implement the self-aligned spacer double-patterning process toward 11-nm node and beyond
Hidetami Yaegashi, Kenichi Oyama, Kazuo Yabe, et al.
Historically, lithographic scaling has been driven by both improvements in wavelength and numerical aperture. In the semiconductor industry, the transition to 1.35NA immersion lithography has recently been completed, and the focus is now on double patterning techniques (DPT) as a means to circumvent the limitations of Rayleigh's definition. Actually, self-aligned spacer double patterning (SADP) has already been employed in high volume manufacturing of NAND flash memory devices. This paper introduces demonstration results focused on the extendibility of double patterning techniques for various device layouts.
The resist-core spacer patterning process for fabrication of 2xnm node semiconductor devices
Koutarou Sho, Tomoya Oori, Kazunori Iida, et al.
The spacer patterning process is one of the strongest double patterning technology candidates for fabricating 2xnm node semiconductor devices by ultra-low-k1 lithography. However, a severe problem exists with this process, it has an excessive number of steps, including resist patterning, core film etching, spacer film deposition, spacer film etchback, core film removal, and hard mask patterning steps. We devised a simpler process in which a resist pattern is directly used as the core film pattern and the spacer film is a low-temperature-deposited oxide film that can be fabricated around the resist pattern without damaging the resist material. Thus, this new process, which we call "resist-core" spacer patterning, has significantly fewer patterning steps. When we used the new process to fabricate 2xnm node semiconductor devices with an ArF immersion scanner, two key issues arose. The first issue regarding the controllability of the resist pattern profile, which can directly affect the spacer film pattern profile, was addressed by applying various resist patterning conditions such as resist materials, illumination conditions, and bottom anti-reflecting materials. The second issue, regarding the resist slimming method was addressed by evaluating two alternative techniques, wet slimming and dry slimming.
A novel double patterning approach for 30nm dense holes
Double Patterning Technology (DPT) was commonly accepted as the major workhorse beyond water immersion lithography for sub-38nm half-pitch line patterning before the EUV production. For dense hole patterning, classical DPT employs self-aligned spacer deposition and uses the intersection of horizontal and vertical lines to define the desired hole patterns. However, the increase in manufacturing cost and process complexity is tremendous. Several innovative approaches have been proposed and experimented to address the manufacturing and technical challenges. A novel process of double patterned pillars combined image reverse will be proposed for the realization of low cost dense holes in 30nm node DRAM. The nature of pillar formation lithography provides much better optical contrast compared to the counterpart hole patterning with similar CD requirements. By the utilization of a reliable freezing process, double patterned pillars can be readily implemented. A novel image reverse process at the last stage defines the hole patterns with high fidelity. In this paper, several freezing processes for the construction of the double patterned pillars were tested and compared, and 30nm double patterning pillars were demonstrated successfully. A variety of different image reverse processes will be investigated and discussed for their pros and cons. An economic approach with the optimized lithography performance will be proposed for the application of 30nm DRAM node.
Resist freezing process challenges of cross pattern applications
Zishu Zhang, Kaveri Jain, Scott L. Light, et al.
Three resist freezing methods (fluoride plasma, chemical and thermal freezing) were studied for double patterning cross pattern by printing the second layer directly on top of the first resist layer. Different methods show different challenges: plasma freezing is very hard to remove footing on both layers; Chemical freezing first layer CD will grow after completion of second pattern; thermal freezing will change line curvature when the CD is smaller than 50nm, if first layer is wave type pattern.
Photobase generator enabled pitch division: a progress report
Pitch division lithography (PDL) with a photobase generator (PBG) allows printing of grating images with twice the pitch of a mask. The proof-of-concept has been published in the previous paper and demonstrated by others. Forty five nm half-pitch (HP) patterns were produced using a 90nm HP mask, but the image had line edge roughness (LER) that does not meet requirements. Efforts have been made to understand and improve the LER in this process. Challenges were summarized toward low LER and good performing pitch division. Simulations and analysis showed the necessity for an optical image that is uniform in the z direction in order for pitch division to be successful. Two-stage PBGs were designed for enhancement of resist chemical contrast. New pitch division resists with polymer-bound PAGs and PBGs, and various PBGs were tested. This paper focuses on analysis of the LER problems and efforts to improve patterning performance in pitch division lithography.
Optimization of pitch-split double patterning photoresist for applications at the 16nm node
Pitch-split resist materials have been developed for the fabrication of sub-74 nm pitch semiconductor devices. A thermal cure method is used to enable patterning of a second layer of resist over the initially formed layer. Process window, critical dimension uniformity, defectivity and integration with fabricator applications have been explored. A tone inversion process has been developed to enable the application of pitch split to dark field applications in addition to standard bright field applications.
Resist Fundamentals
icon_mobile_dropdown
In situ dissolution analysis of EUV resists
Resist dissolution plays an important role in the optimization of resist materials and processes for next-generation lithographic technologies. In this paper, an in situ analysis of EUV resist dissolution is performed utilizing high-speed atomic force microscopy (HS-AFM). Here, the physical changes in EUV resist films are observed in real-time before, during and after the dissolution process. This concept of observing the actual pattern formation of resists during dissolution brings about new ideas in the understanding of the mechanisms that govern the patterning process. Based on this method, resist film swelling of an acryl-based polymer resist during dissolution was found to be controlled by bounding the PAG-anion to the polymer structure. Moreover, a comparison of analysis results between the HS-AFM and the quartz crystal microbalance is also discussed. A similar trend in the amount of resist swelling was obtained for both methods. However, a large difference between these results was observed. Lastly, recent improvements in the system have allowed the in situ characterization of rinse process after dissolution. Initial results have shown that during the standard rinse process with de-ionized water, there is an occurrence of line size narrowing and afterwards, swelling. These results show the potential of HS-AFM in analyzing not only the dissolution characteristics but also the behavior of resists during the rinse process.
Visualization of the develop process
Linda K. Sundberg, Gregory M. Wallraff, Alexander M. Friz, et al.
Variations in critical dimension (CD) as a function of the proximity of an individual feature to other exposed areas are continuing to be a problem in the lithography process. For example, the CD uniformity (CDU) may degrade significantly depending on the proximity to densely or sparsely exposed areas. These pattern density effects will continue to get worse and become more complex as feature sizes decrease. Pattern density effects are believed to arise from several sources and may simultaneously contribute to a net observed CD variation [1]. One such source, develop loading, results in local depletion of developer in highly exposed regions, reducing the dissolution rate and thereby locally affecting CD. In this report we describe our results in visualizing develop loading by using pH sensitive dyes. Two different types of dyes are explored: acid/base pH indicators and a fluorescent dye bound to the resist polymer.
Revisit pattern collapse for 14nm node and beyond
Kenji Yoshimoto, Craig Higgins, Ananthan Raghunathan, et al.
In this study, we have analyzed new data sets of pattern collapse obtained from 300 mm wafers which were coated with a process-of-record (POR) EUV resist and exposed by an EUV Alpha-Demo tool (ADT) and a Vistec VB300 e-beam exposure tool. In order to minimize any processing effects on pattern collapse, the same POR EUV track process was applied to both exposures. A key metric of our analysis is the critical aspect ratio of collapse (CARC)1. We found that CARC of POR EUV resist decreases monotonically with spacing, in the range of ~1.8-2.2 at ~32-54 nm space (60-80 nm pitch) for EUV, and ~1.5-2.1 at ~16-50 nm space (~46-80 nm pitch) for e-beam. We also estimated an apparent Young's modulus of POR EUV resist by fitting a collapse model2 to the CARC data. The resulting modulus ~0.30 GPa was much smaller than the modulus of typical polymer glasses (~1.0-5.0 GPa). Our findings suggest that due to a significant decrease of resist mechanical properties and a sharp increase in capillary force, it will be challenging to maintain aspect ratios above 2.0 for sub-30 nm resist spacing (sub-60 nm pitches). For patterning at these dimensions, alternate processes and materials will become increasingly necessary, e.g. surfactant-based rinse solutions3 and other approaches.
Characteristics of main chain decomposable STAR polymer for EUV resist
Jun Iwashita, Taku Hirayama, Isamu Takagi, et al.
The concept of nonlinear acid diffusion coefficient would be emphasized to achieve better latent image quality, resulting in better lithographic performance. Focusing on realizing the concept, we previously reported about a main chain decomposable star shaped polymer (STAR polymer).STAR polymer consists of a core unit and several arm units which connect to the core unit with easily acid cleavable bonding. (Fig.1) The main chain decomposition system is ideal to achieve promoted acid diffusion at exposed area because it accompanies great molecular weight reduction at exposed area. The significance of the STAR system had been confirmed for partially protected poly(p-hydroxystyrene) (PHS) considering arm length and core structure. Employing p-hydroxy-α-methylstylene (PHOMS) for arm structure, novel STAR polymer with appropriate glass transition temperature (Tg) could be realized. (Fig.2) Poly PHOMS is known to undergo acid-catalyzed decomposition from the polymer end. Lithographic performance comparison between the STAR polymer and the linear polymer as a control using a Micro Exposure Tool (MET) would be exhibited. Thermal property change with exposure and dissolution charactersitic will be also discussed. Moreover main chain decomposition mechanism was investigated with flood EB irradiation.
Novel Processing Special Topic: Negative-Tone Development II
icon_mobile_dropdown
Negative-tone imaging (NTI) at the 22nm node: process and material development
With 22nm logic node arriving prior to EUV implementation, alternative immersion optical lithographic processes are required to drive down to smaller feature sizes. There is an ongoing effort to examine the application of the negative tone imaging (NTI) process for current and future nodes. Although NTI has previously shown difficulties with respect to swelling, high chemical reactivity with oxygen, and the need for special equipment needed for the solvent-based development, NTI photoresists (PR) typically exhibit stronger adhesion to silicon than that of positive tone photoresists (a characteristic that helps mitigate pattern collapse). We will provide suggestions on how to improve the image quality, as well as the resulting defectivity, for desired geometries. This paper will primarily focus on the full litho process optimization and demonstrate repeatable, and manufacturable critical dimension uniformity (CDU), and defectivity optimization for trench and via structures.
High volume manufacturing capability of negative tone development process
Shinji Tarutani, Sou Kamimura, Kana Fujii, et al.
High volume manufacturing capability of negative tone development (NTD) process were discussed in viewpoint of lithography performance, necessary developing time impacting throughput, pattern defectivity, and CD-uniformity (CDU). Dense C/H pattern lithographic performances of the latest resist materials dedicated NTD process were introduced, and the design strategy of these resists were discussed. Best condition of development time was fixed with the study on development time dependences on CD-uniformity and defectivity. Throughput performance of NTD was discussed with the necessary development time. Pattern defectivity studies and CDU studies were carried out on L/S pattern and C/H pattern.
Patterning conventional photoresists in environmentally friendly silicone fluids
Christine Y. Ouyang, Jin-Kyun Lee, Marie Krysak, et al.
The chemical waste generated in today's microelectronic fabrication process can be released into the environment and cause environmental and health concerns. It is therefore necessary to develop an environmentally friendly process that can eliminate the use of toxic chemical solvents. Silicone fluids are linear methyl siloxanes that only contain carbon, hydrogen, oxygen and silicon. They are low in toxicity, not ozone-depleting and contribute little to global warming. They degrade into naturally occurring compounds instead of accumulating in the atmosphere and can be recycled. Their unique physical and chemical properties have also made them promising developers for lithography. For example, their low surface tension can eliminate pattern collapse problems associated with high aspect-ratio features. Silicone fluids are non-polar solvents and their solvent strength is weaker than that of saturated hydrocarbons but stronger than that of the commercially available saturated hydrofluorocarbons and may be enhanced by adding other solvents. Two conventional photoresists used in this study, PBOCST and ESCAP are both insoluble in silicone fluids before or after exposure. However, the solubility of PBOCST and ESCAP in silicone fluids can be increased by using a silicon-containing additive. In this paper, we demonstrate this novel and environmentally friendly development of conventional photoresists in silicone fluids.
Patterning process study for 30nm hole
In order to continue scaling down the feature sizes of the devices until extreme ultraviolet lithography (EUVL) reaches to production capability, the alternative methods such as double patterning technology (DPT) and spacer patterning technology (SPT) are applied for half pitch (hp) 2x~3x nm line / space imaging. In the storage node of DRAM, both stable hole patterning and high dielectric constant (ε) material development are key factors to secure the capacitance. In terms of hole patterning, we anticipate that hp 4x nm hole will be possible with combination of vertical and horizontal lines. However, the patterning process for hp 3x nm hole has to find a solution in trade-off relationship between process stability, complexity and cost of ownership (CoO) until EUVL is accomplished. In this paper, we will demonstrate 3x nm hole patterning process using double patterning technology combined with negative tone development (NTD). Contrary to general method (positive tone development with dark field mask) for hole patterning, intention to use NTD with bright field mask will first be discussed. Evaluation and analysis of the simulated and experimental results will be discussed for block CD uniformity improvement. In addition to patterning, overlay performance will be tested through NXT 1950i to confirm DPT process feasibility. Finally, process integrations including etch process will be demonstrated.
BARC surface property matching for negative-tone development of a conventional positive-tone photoresist
Douglas J. Guerrero, Vandana Krishnamurthy, Daniel M. Sullivan
The main properties controlling a successful negative-tone development (NTD) process include surface energy of the BARC or silicon hardmask, reflectivity control, and type of spin-on carbon (SOC) layer utilized. In this paper, we studied the BARC and silicon-containing hardmask properties needed to achieve successful NTD of a conventional positive-tone photoresist. The surface energy mismatch between BARC and silicon-containing hardmask poses challenges for patterning dense structures. Interaction of the SOC layer and the photoresist was observed, even with the silicon hardmask film present in between these two layers. Strict reflectivity elimination does not guarantee a successful outcome, rather precise control of reflectivity is required to enhance the overall lithographic process.
Directed Self-Assembly I: Selected Semiconductor Applications: Joint Session with Conference 7970
icon_mobile_dropdown
Designing materials for advanced microelectronic patterning applications using controlled polymerization RAFT technology
Michael T. Sheehan, William B. Farnham, Charles R. Chambers, et al.
Reversible Addition Fragmentation Chain Transfer (RAFT) polymerization technology enables the production of polymers possessing low polydispersity (PD) in high yield for many applications. RAFT technology also enables control over polymer architecture. With synthetic control over these polymer characteristics, a variety of polymers can be designed and manufactured for use in advanced electronic applications. By matching the specific RAFT reagent and monomer combinations, we can accommodate monomer reactivity and optimize acrylate or methacrylate polymerizations (193 and 193i photoresist polymers) or optimize styrenic monomer systems (248 nm photoresist polymers) to yield polymers with PD as low as 1.05. For 193i lithography, we have used RAFT technology to produce block copolymers comprising of a random "resist" block with composition and size based on conventional dry photoresist materials and a "low surface energy" block The relative block lengths and compositions may be varied to tune solution migration behavior, surface energy, contact angles, and solubility in developer. Directed self assembly is proving to be an interesting and innovative method to make 2- and even 3-dimensional periodic, uniform patterns. Two keys to acceptable performance of directed self assembly from block copolymers are the uniformity and the purity of the materials will be discussed.
Simulation of Lithographic Phenomena
icon_mobile_dropdown
Analysis of resist patterns for material and process design: parameter extraction from dose pitch matrices of line-width and edge roughness and cross-sectional SEM images
The chemical reactions induced in chemically amplified resists using a molecular glass resist (the seventh Selete Standard Resist, SSR7) were investigated. Two-dimensional (half-pitch and exposure dose) matrices of resist line width and line edge roughness (LER) and the remaining resist thickness were analyzed on the basis of the sensitization mechanisms of chemically amplified resists for extreme ultraviolet (EUV) lithography. The line width, LER, and remaining resist thickness were successfully reproduced by assuming that LER is inversely proportional to the chemical gradient. The chemistry of SSR7 was discussed.
Stochastic acid-based quenching in chemically amplified photoresists: a simulation study
BACKGROUND: The stochastic nature of acid-base quenching in chemically amplified photoresists leads to variations in the resulting acid concentration during post-exposure bake, which leads to line-edge roughness (LER) of the resulting features. METHODS: Using a stochastic resist simulator, we predicted the mean and standard deviation of the acid concentration after post-exposure bake for an open-frame exposure and fit the results to empirical expressions. RESULTS: The mean acid concentration after quenching can be predicted using the reaction-limited rate equation and an effective rate constant. The effective quenching rate constant is predicted by an empirical expression. A second empirical expression for the standard deviation of the acid concentration matched the output of the PROLITH stochastic resist model to within a few percent CONCLUSIONS: Predicting the stochastic uncertainty in acid concentration during post-exposure bake for 193-nm and extreme ultraviolet resists allows optimization of resist processing and formulations, and may form the basis of a comprehensive LER model.
Meso-scale simulation of the line-edge structure based on resist polymer molecules by negative-tone process
We studied the line edge structure forming in the negative tone process using meso scale simulations. Our simulation is based on the dissipative particle dynamics (DPD) method. The simulation model of the lithographic process is developed in which the dynamics of a polymer chain in continuous model can be observed. In the negative tone process, the cross linking reaction is the key step to obtain the high resolution patterns. First we develop the model for the cross linking reaction. From our results in the dissolution test of the film, as the density of cross links increases, the soluble film to the developing liquid changed to the swelling (or insoluble) one. Once the threshold between soluble and insoluble conditions with changing the number of cross links, we can perform two kinds of simulations; 1) layered model simulation, and 2) line pattern simulation. In the layered model, more roughened edge can be found in the case of a thick interface than in the case of a thin interface. Our simulations can be applicable to study the LER problem and the dynamics of polymer chain including the chemical reaction will be one of the important origins of LER.
Negative tone development: gaining insight through physical simulation
A simple analysis of aerial image quality reveals that negative tone imaging is superior to positive tone for small dimension contacts and trenches. Negative Tone Development (NTD) of positive chemically amplified (de-protecting) photoresist is currently the favored method for realizing such images on the wafer. When experimental process windows are determined for NTD systems, it is apparent that the results far exceed the upper limit predicted using current physical modeling. Since real data transcends the capabilities of the current model to predict, some important physical process is clearly missing. In this work, we explore whether resist shrinkage during PEB can account for the observed discrepancies. Two very simple shrinkage models are developed and tested. Results show that shrinkage in the vertical direction explains some profile artifacts observed in actual NTD processes but has negligible impact on conventional positive tone processes. The horizontal shrinkage model reveals that this type of phenomenon can significantly increase the exposure latitude of a negative tone process but has marginal impact on positive tone exposure latitude only introducing a small CD offset. While horizontal shrinkage does enhance exposure latitude appreciably, the effect does not seem large enough on its own to account for the entire increase observed in the experimental results. Further work is ongoing to investigate other potential mechanisms for observed behavior.
Physical modeling of developable BARC at KrF
Resist CDU and profile control in high-resolution lithography are improved with the use of spin-on bottom anti-reflective coatings (BARCs). Typically, BARCs have not been applied on implant levels because they interfere with the doping process and also because of the cost of performing a reactive-ion etching step to open the BARC in bright areas. As implant CDs shrink to challenging k1 values, reflection control is increasingly required. One solution is to use a reactive-soluble antireflection layer to control CD and profile, thus eliminating the need for an additional etch step. Developable bottom antireflective coatings (DBARCs) provide improved control while minimizing increased cost. Computer simulation methods are a valuable tool for research and design. Complex reaction phenomena, often difficult to measure experimentally, may be studied within the limits of the models used, and their effect upon the resulting lithography evaluated. In this work, we describe DBARC functionality and application. The physics of a computer model for the study of DBARC is discussed. The effect of the model parameters upon the simulated lithography is discussed. The model is calibrated to experimental data and model predictions are compared to data of resist profiles on DBARC for key features at KrF.
EUV II: Joint Session with Conference 7969
icon_mobile_dropdown
Extendibility of EUV resists in the exposure wavelength from 13.5 down to 3.1 nm for next-generation lithography
Tomoko G. Oyama, Tomohiro Takahashi, Akihiro Oshima, et al.
The increasing density of semiconductor devices has required the development of high resolution exposure techniques. The miniaturization of feature sizes has been achieved mainly by shortening the exposure wavelength. Extreme ultraviolet (EUV) lithography at 13.5 nm exposure wavelength will be introduced into pilot lines in 2011, and high volume manufacturing is expected to be started in 2013/2014. Furthermore, the possibility of extending EUV lithography down to sub 5 nm has been proposed as next-generation lithography, by reducing the exposure wavelength to 6.x nm. ASML has estimated the throughput of exposure system for 6.x nm EUV lithography is comparable with 13.5 nm lithography, based on the experiments such as reflective multilayer optics. However, the estimation has not included the sensitivities of resist materials, which is one of the most important criteria for the practical lithography systems. Here, the sensitivities of several kinds of typical resists in EUV/soft X-ray wavelength down to 3.1 nm were actually evaluated by experiments. The sensitivities of resist materials were roughly proportional to the absorbed dose calculated by line absorption coefficients of resists. The dependence of the exposure wavelength on EUV resist sensitivity could be theoretically predicted for the next-generation lithography, if sensitivity at a certain EUV wavelength like 13.5 nm is experimentally obtained.
Coefficient of thermal expansion (CTE) in EUV lithography: LER and adhesion improvement
Craig Higgins, Charles Settens, Patricia Wolfe, et al.
Spin-on underlayers are currently being employed by the lithographic industry to improve the imaging performance of EUV resists. In this work, multiple examples have shown improved line-edge roughness (LER) of an open-source resist using new open-source underlayers in comparison to a primed silicon substrate. Additionally, several experiments demonstrate better resist adhesion on underlayers that have lower coefficients of thermal expansion (CTE). Both organic and inorganic underlayers provide better resist LER when their CTE is lower.
EUV underlayer materials for 22nm HP and beyond
Huirong Yao, Zachary Bogusz, Jianhui Shan, et al.
EUV lithography is expected to be an important technology for manufacturing 22 nm node and beyond in the semiconductor industry. To achieve the desired resist RLS performance for such fine feature patterns, multilayer materials are almost certainly needed to define the overall lithography process. The resist modeling and experiment studies suggest high EUV absorbance of the film improves resolution, line width roughness and sensitivity. In this paper, we report the studies of new EUV underlayers (EBL) based on crosslinkable organic underlayer materials with high EUV photon absorption (EPA) unit. The lithography results for the new EUV underlayer materials have demonstrated advantages over conventional organic underlayer in terms of resist sensitivity, resolution, process window, pattern profile, collapse margin, and possibly line width roughness.
Novel Materials and Processing I
icon_mobile_dropdown
Impact of post-litho LWR smoothing processes on the post-etch patterning result
In the last years, interest in reducing line width roughness (LWR) in EUV lithography through a dedicated process step has significantly increased. Various post-litho processing techniques to improve LWR without compromising resolution or sensitivity have been proposed. While these techniques are giving smoothing levels up to 30% before etch, the important question is of course how efficient they are in the full patterning process. To evaluate the effectiveness of the smoothing techniques on the EUV resist process and the post-etch pattern, a few of the most promising techniques have been selected for an evaluation. Post-develop rinse smoothing and solvent vapor smoothing can reduce the LWR by 10-15%. Ion-beam smoothing is giving higher smoothing values but suffers some important limitations for its application. Two case studies of post-litho smoothing followed by a standard etch process reveal that a large portion of the LWR smoothing can remain after etch, but the LWR gain may also be completely lost. Finally, a plasma smoothing process combined with a plasma layer deposition is proposed to optimize the etch process itself. Analysis of LWR in the spatial frequency domain at the different stages of the patterning process gives a better insight into the impact of the different steps.
Developable BARC (DBARC) technology as a solution to today's implant lithography challenges
James Cameron, Jin Wuk Sung, Sabrina Wong, et al.
As patterning of implant layers becomes increasingly challenging it is clear that the standard resist/Top Antireflective Coating (TARC) process may be soon be limited in terms of its ability to meet implant targets at future nodes. A particularly attractive solution for patterning implant levels is the use of a Developable Bottom Anti-Reflective Coating (DBARC). Similar to a conventional BARC, a DBARC controls reflectivity from the underlying substrate by absorbing the incident radiation thereby minimizing detrimental effects of reflected light. However, unlike a conventional Bottom Anti-Reflective Coating (BARC) which requires a BARC open etch step, the DBARC is developed with the resist in a single step leaving the substrate ready for implantation. These properties make DBARC very attractive for implant layers. In this paper, we report on the development of KrF and ArF DBARCs for implant applications. Our primary interest is in developing solutions for patterning Post-Gate implant levels. We briefly describe our fundamental design concepts and demonstrate the concepts are robust as we develop ARTM602 DBARC to address the criteria for a production worthy DBARC. This includes data on EBR performance, drain line compatibility, sublimation and footing coverage over topography. In terms of lithographic performance, we demonstrate improved capability over the incumbent SLR/TARC process in many key areas. This includes through pitch performance, process window and profile integrity over topography for both KrF and ArF DBARC solutions. Several strategies to enhance profile by resist/DBARC matching are also demonstrated. From a platform robustness standpoint, we show that AR602 DBARC is ready for high volume manufacturing in terms of batch to batch control and shelf life.
193nm resist chemical modification induced by HBr cure plasma treatment: a TD-GC/MS outgassing study
In this paper, we propose to bring new insights of the resist chemical modifications induced by HBr plasma treatment by using thermal desorption-gas chromatography/mass spectrometry (TD-GC/MS) measurements and thermal analysis. In order to isolate effect of plasma ions and radicals of resist chemical modification induced by VUV plasma light, samples coated with a model 193nm resist (polymer only and full formulation) and exposed to a HBr plasma (directly or via a LiF window) are analysed. Our approach, based on TD-GC/MS technique, is an indirect method to monitor the outgassed by-products during different treatments. Thus the outgassing rate associated with sample exposed directly to HBr cure plasma is significantly lower that outgassing rate of the samples exposed under LiF window, suggesting plasma induced surface hardening. Moreover a short O2 plasma treatment seems enough to remove the surface layer. Quantitative and qualitative TD-GCMS analysis correlated with Thermo-Gravimetric Analysis (TGA) allow us to show that plasma H+ ions induce resist deprotection . In conclusion, using such methodology we propose a fine analysis of fundamental mechanisms involved in 193nm resist modification under HBr cure plasma treatment.
Systematic studies on reactive ion etch-induced deformations of organic underlayers
Martin Glodde, Sebastian Engelmann, Michael Guillorn, et al.
Underlayers (UL), such as organic planarizing layers (OPLs) or spin-on carbon (SOC) layers, play a very important role in various integration schemes of chip manufacturing. One function of OPLs is to fill in pre-existing patterns on the substrate, such as previously patterned vias, to enable lithographic patterning of the next level. More importantly, OPL resistance to reactive ion etch (RIE) processes used to etch silicon-containing materials is essential for the successful pattern transfer from the resist into the substrate. Typically, the pattern is first transferred into the OPL through a two-step RIE sequence, followed by the transfer into the substrate by a fluorine-containing RIE step that leaves the OPL pattern mainly intact. However, when the line/space patterns are scaled down to line widths below 35 nm, it was found that this last RIE step induces severe pattern deformation ("wiggling") of the OPL material, which ultimately prevents the successful pattern transfer into the substrate. In this work, we developed an efficient process to evaluate OPL materials with respect to their pattern transfer performance. This allowed us to systematically study material, substrate and etch process parameters and draw conclusions about how changes in these parameters may improve the overall pattern transfer margin.
Fundamental study on reaction mechanisms in chemically amplified extreme ultraviolet resists by using 61nm free-electron laser
For chemically amplified EUV resists, secondary electrons derived from ionization events play a critical role in the sensitization of acid generators. In this study, we show the dependence of acid generation efficiency on dose rate (fluence per pulse duration) by using 61 nm free-electron laser (FEL) light irradiation. The wavelength of 61 nm (20.3 eV) is applied because single incident photon induces only single ionization event, in contrast to the 13.4 nm EUV photon that induces 4.2 ionization events on average. The acid yield efficiency has enhances with decreasing the dose rate. It is suggested that high density ionization enhances the multiple spur effect.
Bound PAG resists: an EUV and electron beam lithography performance comparison of fluoropolymers
Luisa D. Bozano, Phillip J. Brock, Hoa D. Truong, et al.
One of the most promising resist design strategies for the development of high resolution materials for EUV lithography is the PAG anion-bound polymer approach. We have published several reports in the past few years on the structure/property relationships of anion bound PAG resist polymers. This paper will focus on relative performance of novel bound PAG polymers in EUV and electron beam lithographies. We will analyze the performance characteristics of a series of well characterized bound PAG resist polymers using several polymerizable PAG monomers. Due to the limited access to EUV exposure tools, we analyzed the initial lithographic performance with electron beam lithography for improved cycles of learning. We have found several examples of poor correlation between EUV and e-beam (EB) lithography results. We will offer rational for the difference in performance, with the goal of improved insight into both EB and EUV resist design.
Novel Materials and Processing II
icon_mobile_dropdown
Addressing challenges in lithography using sub-millisecond post exposure bake of chemically amplified resists
Byungki Jung, Christopher K. Ober, Michael O. Thompson, et al.
Chemically amplified photoresists require a post exposure bake (PEB), typically on a hot plate at 90-150°C for 30-120 seconds, to catalytically deprotect the polymer backbone. During PEB, excessive diffusion of the photo-generated acid results in loss of line edge definition, blurring of latent images and changes in the line edge roughness. Both acid diffusion and deprotection are thermally activated processes, with the relative rates affected by the time/temperature profile of the PEB. In this work, we introduce an alternate PEB method involving millisecond time frame heating over a temperature range of 300°C to 420°C using a continuous wave CO2 laser. A methodology is developed for characterizing the resist deprotection and acid diffusion kinetics under laser PEB (l-PEB) and comparing the behavior with conventional hot plate PEB. Results show that the deprotection rate sensitivity with temperature is smaller for l-PEB compared to that of hot plate PEB, suggesting a possible change in the deprotection mechanism. Acid diffusivity under l-PEB is reduced by a factor of 102-103 compared to values extrapolated from the hot plate PEB data. Under EUV exposure, patterns formed using l-PEB show significantly smoother surface roughness while requiring less than half the dose required for hot plate PEB - a direct consequence of enhanced deprotection at high PEB temperature and reduced acid diffusion in the millisecond time frame.
Extending photo-patternable low-κ concept to 193nm lithography and e-beam lithography
Qinghuang Lin, A. Nelson, L. Bozano, et al.
Increasing complexity and manufacturing costs, along with the fundamental limits of planar CMOS devices, threaten to slow down the historical pace of progress in the semiconductor industry. We have proposed and demonstrated proof-of-concept of a simple and low-cost way to fabricate dual-damascene copper (Cu) on-chip interconnect or Back-End-Of-the- Line (BEOL) structures using a novel multifunctional on-chip electrical insulator called a photo-patternable low dielectric constant (low-κ) dielectric (PPLK) material [Q. Lin, et al, Proc. SPIE, 2010]. This demonstration was accomplished with a silsesquioxane-based (SiCOH), κ=2.7 material which is compatible with 248 nm optical lithography. In this paper, we report on the extension of the photo-patternable low-κ concept to the ultra-low-κ (κ<2.5) regime and resolution down well below 100 nm with 193 nm lithography as well as e-beam lithography. We have accomplished this demonstration using the same silsesquioxane-based (SiCOH) material platform as that of the 248 nm photo-patternable low-κ materials. The 193 nm photo-patternable low-κ materials possess dielectric constants below 2.5 and are able to resolve 100 nm half-pith line/space features with dry 193 nm single exposure lithography. The resolution of photopatternable low-κ materials can be pushed down to 40 nm half-pith line and space features with a line-edge-roughness less than 3.0 nm with e-beam lithography.
Process capability of implementing ArF negative resist into production
Meng-Feng Tsai, Yang-Liang Li, Chan-Tsun Wu, et al.
Resist supplier has successfully demonstrated applying negative tone resist into ArF lithography. It is capable of achieving 50nm dense line and <30nm isolated space pattern by over dose operation in topcoat-free immersion lithography. Additionally, using ArF dry system with double exposure could also realize 65nm gridded contact hole patterns. For specific application, negative PR ArF lithography has better benefit of cost and process control capability than other approaches. In this paper, we have determined process capability of 65nm gridded contact hole by ArF dry double patterning and compared with LELE process in terms of DOF, EL and CDU and cost. By continuously optimizing process parameter, >0.21um DOF and 4.6nm global CDU are achieved on DRAM capacitor process. It revealed strong relation to development parameter setting. Furthermore, specific pattern formation considering optical items, ex: OPE, NRF (non-resolution feature) and interaction between double exposure have also been analyzed and difficulties of generating a specific pattern with negative tone resist double exposure have been figured out.
Development of an inorganic nanoparticle photoresist for EUV, e-beam, and 193nm lithography
We have developed a transparent, high refractive index inorganic photoresist with significantly higher etch resistance than even the most robust polymeric resist. As feature sizes continue to decrease, film thickness must be reduced in order to prevent pattern collapse. Normally thinner films prevent sufficient pattern transfer during the etch process, creating the need for a hardmask, thus increasing production cost. Compared to PHOST, we have shown over 10 times better etch resistance. Organic photo-crosslinkable ligands have been attached to a hafnium oxide nanoparticle core to create an imageable photoresist. This resist has shown superior resolution with both E-beam and 193 nm lithography, producing sub-50 nm patterns. In addition to improved etch resistance, the inorganic photoresist exhibits a high refractive index, increasing the depth of focus (DOF). The nanoparticle size of ~ 1-2 nm has the potential to reduce line edge roughness (LER).
Poster Session: EUV
icon_mobile_dropdown
EUV negative-resist based on thiol-yne system
Masamitsu Shirai, Koichi Maki, Haruyuki Okamura, et al.
Non-conventional chemically amplified (CA) negative resist for EUV lithography was studied. We have designed negative-tone EUV resist based on thiol-yne stepwise radical reactions. OH groups of poly(4-hydroxystyrene) (PHS) were modified with functional units bearing C-C triple bond structure. Resist was formulated as a mixture of modified-PHS, multifunctional thiol compound, and photoradical generator. The present resist was developable with standard 2.38 wt% TMAH aq. solution. Photo-sensitivity of the resist was obtained on irradiation at 254 nm and 13.5 nm. The resist was highly sensitive to EUV exposure. The sensitivity and the contrast were affected by the structure of modified-PHS and process conditions.
High-sensitivity EUV resists based on fluorinated polymers
Tsuneo Yamashita, Masamichi Morita, Yoshito Tanaka, et al.
There is a growing interest in the fluorinization of resist materials in improving pattern formation efficiency for extreme ultraviolet (EUV) lithography. The increased polymer absorption coefficient obtained through this resist platform is expected to enhance acid production and in effect improve pattern formation efficiency. Our work over the past several years has shown that the main-chain fluorinated base resins realized by the co-polymerization of tetrafluoroethylene (TFE) and norbornene derivatives offer high dissolution rates. Based on this, a EUV resist which was prepared using the by the fluorinated polymers was investigated. Imaging evaluations, using the small field exposure tool (SFET by Canon / EUVA) with annular (σouter 0.7 / σinner 0.3) illumination conditions were performed. Relatively high sensitivity of 6.3mJ•cm-2 for half-pitch (hp) 45nm and satisfactory resolution limit of hp 40nm was achieved. At present, line width roughness (LWR) was measured at comparatively large values of more than 8.4nm at hp 45nm. This shows that further material and process optimizations may be necessary to improve its present lithographic capability. However, these initial results have shown the potential of fluorinated-polymer based platform as a possible solution for high sensitivity, high resolution and low LWR EUV resists. In this paper, we report recent results of high sensitivity of 5.1mJ•cm-2 for half-pitch (hp) 40nm, optimization of protecting groups and photo acid generators
Characterization of EUV irradiation effects on polystyrene derivatives studied by x-ray photoelectron spectroscopy (XPS) and ultraviolet photoelectron spectroscopy (UPS)
The trade-off among resolution, sensitivity, and line edge roughness (LER) is the most serious problem in actualization of extreme ultraviolet (EUV). As feature sizes are reduced, it becomes very strict to simultaneously meet these requirements. Also, reaction in resist materials induced by EUV photon is more complicate. In chemically amplified EUV resists, not acid generator but polymers mainly adsorbed EUV photons. The secondary electrons are generated from polymer upon exposure to ionizing radiation such as EUV radiation and electron beam. Therefore, the increase in secondary electrons generated by EUV photons adsorbed in resist film is very important factor in the resist design. Therefore, it is essential to know the ionization mechanisms of backbone polymers and understand the reaction mechanism in details in order to accomplish high sensitivity and ultra-fine pattern in EUV lithography. We investigated the photoelectron spectra of typical backbone polymers for chemically amplified EUV resists using ultraviolet photoelectron spectroscopy (UPS). Also, the structure degradations in polystyrene (PS) derivatives thin films induced by EUV radiation were analyzed by X-ray photoelectron spectroscopy (XPS) and UPS.
Ultra-thin-film EUV resists beyond 20nm lithography
Hiroki Nakagawa, Tomohisa Fujisawa, Kentaro Goto, et al.
Extreme ultraviolet (EUV) lithography is one of the most promising technologies for achieving 22nm HP lithography and beyond. EUV resist is required to improve resolution limit down to less than 20nm hp. To achieve such a performance, innovative materials' development is necessary under ultra-thin resist film condition for preventing line collapse. In addition, more refined etching processes compatible with ultra-thin resist film are needed. In this study, we will report our several approaches for both materials and processes towards forming less than 20nm HP pattern under ultra-thin film condition. We will also introduce our tri-layer system formed with combination of Si-ARC stack and organic hard mask (OHM) stack for refined etching process.
Calculated reactivity analysis of photoacid generators for EUV resist
M. Endo, S. Tagawa
We evaluated reactivity of photoacid generators for EUV resist using the quantum chemical calculation. As the secondary electron from the polymer in resist causes the reaction of photoacid generator, the reactivity of photoacid generator is determined as its electron affinity. We performed optimization of the molecular structure with and without electron addition to the photoacid generator and calculated each molecular energy. We defined the absolute value of the difference of molecular energy as the stabilization energy, which can be the electron affinity of photoacid generator. The typical substituents to photoacid generators of triphenylsulfonium trifluoromethanesulfonate and tricyclohexylsulfonium trifluoromethanesulfonate were investigated. We found that incorporation of electron withdrawing group enhances the reactivity of photoacid generators.
Poster Session: Negative Tone
icon_mobile_dropdown
Defect printability analysis in negative tone development lithography
Junggun Heo, Changil Oh, Junghyung Lee, et al.
In general, contact hole patterning has various challenges such as narrow process window, large mask error enhancement factor (MEEF), poor circularity, and low image contrast compared to line & space patterning. For that reason, it is difficult to make sub-50nm size contact hole with 193nm ArF immersion single exposure. In order to achieve sub-40nm contact hole patterning, we have need of shrink bias over 20nm. However, conventional pattern shrink technology such as resist reflow process is difficult to get shrink bias over 20nm because the shrink volume gets smaller as the pitch gets narrower. Recently several authors have specifically noted the advantages of using negative tone development for patterning narrow trenches. A new negative tone imaging with application of new developer to conventional ArF immersion resist materials is proposed for small contact hole pattern formation. Significantly better LWR and resolution on small contact hole pattern were observed with this negative tone development compared with positive tone development. In this paper, we will introduce the experimental results of sub-40nm contact hole patterning using negative tone systems for contact hole patterning. We will report the results of comprehensive studies of defects originating in negative tone photolithography and reveal the defect generation mechanism of each negative tone imaging-specific defect types.
A study on post-exposure delay of negative tone resist and its chemistry
Medhat Toukhy, Margareta Paunescu, Chunwei Chen
Exceptional post exposure delay (PED), CD stability, up to 72 hours was reported. This study was conducted using two negative resist formulations identical in their composition except for their PAG type. A mechanism by which the photoacid is protected from relatively moderate levels of airborne amines is proposed. Evidence of room temperature interaction between the resist components and the acid during post exposure delay was also suggested. Therefore, the PED outcome could be the result of two opposing mechanisms.
Poster Session: Resist Fundamentals
icon_mobile_dropdown
Predicting resist sensitivity to chemical flare effects though use of exposure density gradient method
Michael Hyatt, Anton DeVilliers, Kaveri Jain
Chemical flare has been shown to be a process limiter for patterns that are surrounded by areas of unexposed resist for certain chemically amplified resists. Using a pattern known to be susceptible to chemical flare effect a method was developed and tested on several materials. Details of the testing patterns, consisting of placements of small and large pattern density areas set to provide multiple degrees of resist loading; and a second level of loading variation achieved by selective exposure locations of those patterns across the wafer are given. Descriptions of the determination of slopes from linear trend-lines of the critical dimensions responses can be used to provide a gauge for internal evaluations as well as feedback to the vendors for chemical flare sensitivity.
Deprotonation mechanism of poly(styrene-acrylate)-based chemically amplified resist
Y. Tajima, K. Okamoto, T. Kozawa, et al.
The influence of degree of copolymerization of poly(styrene-ran-methyl methacrylate) [P(S-MMA)] on the deprotonation mechanism for EUV chemically amplified resists were investigated by pulse radiolysis, quantity of the acid yield, and density functional theory methods. The degradation of MMA unit occurs immediately before the hole transfer to the styrene unit in P(S-MMA) in the low PS mole fraction (< 50 %). The hole transfer from MMA unit to styrene units occurred in the high PS mole fraction (> 70 %). It is assumed that the formation of styrene multimer (n > 3) causes the hole transfer.
Diffusion of amines from resist to BARC layer
Masamitsu Shirai, Tatsuya Hatsuse, Haruyuki Okamura, et al.
In chemically amplified (CA) resist systems, photo-chemically generated acid can diffuse in resist matrix and the acid induces the de-protection reaction of resists. To control the rate of the de-protection reaction, small amounts of amines must be added as a quencher. The concentration of amines in resist matrix should be constant during the post-exposure-bake (PEB) treatment. In the practical resist processes, organic bottom anti-reflective coating (BARC) is essentially important to provide reflectivity control for resist patterning. In this study, we have studied the diffusion characteristics of amines from resist layer to BARC layer by bake treatment. The amine concentration in resist layer was estimated using the rate of de-protection reaction of conventional CA resist. It was found that the diffusion rate of amines from resist layer to BARC layer was negligibly low.
Reduction of micro-bridging defects for 193nm immersion resist
Lijing Gou, Vinay Nair, Hiroyuki Mori, et al.
Factors such as post-develop hydrophobicity, polymer aggregation and acid diffusion length are driving forces in the formation of micro bridging defects. In this report, solutions for each possible cause are proposed and tested. It is proven that micro-bridging levels can be significantly reduced through a multi-tiered approach.
Study of major factors to affect photoresist profile on developable bottom anti-reflective coating process
Hyo Jung Roh, Dong Kyu Ju, Hyun Jin Kim, et al.
As critical dimensions continue to shrink in lithography, new materials will be needed to meet the new demands imposed by this shrinkage. Recently, there are needs for novel materials with various substrates and immersing process, including double patterning process, a high resolution implant process, and so on. Among such materials, Developable Bottom Anti-reflective Coating material (DBARC) is a good candidate for high resolution implant application as well as double patterning. DBARC should have reflectivity control function as an ordinary BARC, as well as an appropriate solubility in TMAH-based conventional developer after exposure and bake process. The most distinguished advantage of DBARC is to skip BARC etch process that is required in normal BARC process. In spite of this advantage, the photoresist profile on DBARC could be influenced by components and process conditions of DBARC. Several groups have tried to solve this issue to implement DBARC to new process. We have studied material-related factors affecting photoresist profiles, such as a polymer, photo-acid generators (PAGs), and additives. And we explored the effect of process condition for photoresist and DBARC. In case of polymer, we studied the effect of dissolution rate in developer and crosslinking functionality. For PAGs and additives, the effect of acid diffusivity and cross-linking degree according to their bulkiness were examined. We also evaluated coated film stability in a photoresist solvent after BARC bake process and compared lithographic performance of various DBARC formulations. In addition, the effect of photoresist profile with bake condition of photoresist and DBARC were investigated. In this paper, we will demonstrate the most influential factors of DBARC to photoresist profile and suggest the optimum formulation and process condition for DBARC application.
Photoinitiated polymerization of new hybrid monomer containing vinyl ether and (methyl) acryloyl groups
Cuimei Diao, Yingquan Zou
The photopolymerization kinetics of 4-(vinyloxy)butyl methacrylate containing cationic and free radical polymerizable vinyl groups was studied by real-time Fourier transform infrared spectra (RT-FTIR) .The cationic polymerizable vinyl ether moieties(Vc) of the hybrid monomer in solution polymerized rapidly by exposure to UV light in presence of a cationic photoinitiator such as an iodonium salt or suflonium salt .High conversions, of 90%, were obtained for most of the systems investigated. The efficiency of the cationic photoinitiators in initiating the polymerization of the vinyl ether moieties (Vc) of the hybrid monomer was in the order: suflonium salt > iodonium salt . The free radical polymerizable methacrylate groups (Vr) of the hybrid monomer in solution polymerized by exposure to UV light in presence of a radical photoinitiator such as 2,4,6-trimethyl benzoyl diphenylphoshine oxide (TPO), 2-isopropyl thioxanthone (ITX) , Phenylbis(2,4,6-trimethylbenzoyl)phosphine oxide (Irgaure 819), 2-Methyl-4'-(methylthio)-2-morpholinopropiophenone (Irgaure 907). Among the photoinitiators , the best effect in initiating the polymerization of methacrylate groups (Vr) of the hybrid monomer is initiator Irgaure 907.
Analysis of the generating action of the acid from PAG using acid sensitive dyes
Atsushi Sekiguchi, Yoko Matsumoto, Hiroko Konishi, et al.
The use of acid sensitive dyes to determine the quantity of acid generated from PAG and in the analysis of acid-generating reaction is currently being studied. The method would allow an easy understanding of the PAG acid-generating reaction simply by adding an acid sensitive dye to the resist. In the conventional method, a resist containing a chromogenic substance is applied to a quartz substrate, which is then exposed. Following the exposure, the absorbance of chromogenic component near 530 nm is measured and evaluated with a spectroscope. The rate constant for acid generation (Dill's C parameter) during the exposure is determined based on the relationship between transmittance at 530 nm and the exposure dose. However, the chromogenic substance used in this method degrades over time (fading reaction) after the exposure, resulting in variations in transmittance measurements due to the effects of time between the completion of the exposure and the measurement of transmittance. We devised a prototype instrument capable of in situ measurements of absorbance at 530 nm while irradiating a 193-nm light beam. Using this instrument, we obtained rate constants for acid generation (Dill's C parameter) and examined the differing results obtained with ArF resist polymers of differing PAG concentrations and structures as well as dependence on the quantity of the chromogenic substance.
Primary structure control of ArF resist polymer by regulating feed rate of monomers and initiator
Tomoya Oshikiri, Atsushi Yasuda, Keisuke Kato, et al.
For a purpose of decreasing a defect risk, a primary structure of a polymer such as molecular weight, composition of comonomer and its sequence plays significantly important roll. Concerning to a typical radical polymerization, molecular weight and composition are controllable by regulating feed rate of initiator and monomers. At first, a simulation program predicting the radical polymerization was constructed. Then, the conventional polymerization procedure was modified by simulation to give the ideal primary structure. After that, the optimized procedure was verified by an actual experiment. The obtained homogeneous polymer showed good lithographic performance. In addition, a direct observation of the developed surface was achieved by scanning probe microscopy.
A study of reactive adhesion promoters and their ability to mitigate pattern collapse in thin film lithography
As integrated circuit fabrication continues to advance towards the 22 nm node and below, it has become clear that although line edge roughness and resolution are important, other issues such as pattern collapse must be addressed in order for technology to continue to progress. One of the primary modes of pattern collapse at small feature sizes is adhesion failure caused by loss of adhesion of the resist to the substrate during the drying process. The main forces which govern pattern collapse by adhesion failure are related to substrate/resist interactions. Significant research has been conducted to find methods for reducing capillary forces, such as use of surfactants in rinses, to reduce pattern collapse. However, the use of spin drying has also been observed to exhibit other collapse related effects that are not sensitive to such treatments. To this end, in this work a reactive adhesion promoter capable of covalently attaching to hydroxystyrene-based positive tone resist copolymers has been developed and demonstrated. A vinyl-ether-modified silane was prepared and effectively applied using a solution silanization reaction. A model hydroxystyrene-based positive tone resist was applied and subjected to post apply bake to cause reaction of the surface modifier with the photoresist to occur prior to patterning using e-beam lithography. Contact angle studies and ellipsometry were used to characterize the surface silanization reaction. Pattern collapse test structures were fabricated and analyzed after development and drying on the different surfaces to quantify the impact of the use of the covalent surface linker and compare it to more standard adhesion promoter processes such as those utilizing hexamethyldilazane (HMDS). The effect of soft bake condition on the performance of the reactive adhesion promoter has also been studied. Ultimately, the results of critical stress analysis and SEM studies of the resulting patterns confirm that use of surface priming agents that covalently attach the resist to the substrate can significantly enhance resist-substrate adhesion and dramatically reduce pattern collapse.
A comprehensive model and method for model parameterization for predicting pattern collapse behavior in photoresist nanostructures
Pattern collapse has become an issue of increasing importance in semiconductor lithography as the size of critical features continues to shrink. Although models have been proposed to explain the observed pattern collapse behavior, the ability of such models to quantitatively predict the collapse behavior has been limited without significant model fitting to experimental pattern collapse behavior. Such a need to collect extensive collapse data before these models can provide any predictive capability limits their use and in general does not provide further insight into the underlying root causes of the observed behavior in many cases. This is particularly true at small feature sizes for resist lines smaller than approximately 70 nm in width. In this work, a comprehensive pattern collapse model that accounts for both adhesion based pattern failure and elastoplastic deformation-based failure is used. Furthermore, the required model parameters are extracted from basic experiments on the resist materials and substrates themselves without the need for actual patterning experiments. For example, the resist mechanical modulus behavior is determined from simple thin film buckling experiments. The results of these simple tests are quantitatively predictive pattern collapse models for a particular resist-substrate combination that capture complex effects such as the dependence of the collapse behavior on resist film thickness and feature size due to feature size dependent polymer modulus behavior. Application of these models and experimental methods to an experimental resist and comparisons of the model predictions versus actual experimental pattern collapse data are shown and discussed to validate the methodology.
The effect of drying rate on pattern collapse performance in thin film lithography
As the semiconductor industry continues to push the limits of the lithography processes used to fabricate integrated circuits, pattern collapse during development and drying can have a substantial impact on process viability. This pattern collapse in general is caused by unbalanced capillary forces present during the drying step of the lithographic process. Significant research has focused on developing methods to reduce such capillary forces and improve the pattern collapse of photoresists. However, it appears that relatively little attention has been paid to other process dependent factors which may also significantly impact such collapse behavior. For example, another potential factor which may affect pattern collapse is the length of time during which the resist features are actually stressed during drying. As such, processes which result in different drying rates may be expected to yield different levels of pattern collapse. In this work, e-beam lithography was used to generate high resolution line-space pair arrays which contain different size spaces between a pair of adjacent lines in a model chemically amplified resist. Such line pairs present an excellent tool for studying pattern collapse and quantifying the level of stress required to cause collapse and failure of resist features. After development and rinse, such pattern collapse test structures were dried using a variety of different processes possessing a variety of different drying rates, and the impact of these different drying methods on pattern collapse was quantified. It was indeed found that drying rate has a dramatic impact on pattern collapse, with spin drying techniques performing better than most other techniques. However, it was discovered that such spin drying methods also yield a significant pattern orientation dependence of the degree of pattern collapse. Such behavior is explained in terms of additional mechanical forces caused by the centrifugal forces exerted during spin drying methods.
Poster Session: Novel Materials
icon_mobile_dropdown
Hexafluoroalcohol (HFA) containing molecular resist materials for high-resolution lithographic applications
Molecular glass resists have gained attention for the past decade as a potential platform for high resolution lithography. Several molecular resist materials based on the calix[4]resorcinarene system have been developed. Though this molecular system is very versatile, there are several challenges with the synthesis and processing of these materials. The difficulty to synthesize a monodipserse unit, the poor solubility in casting solvents and incompatibility with conventional developer are some noted challenges. We have addressed these issues by designing a new calix[4]resorcinarene resist material with hexafluro alcohol (HFA) units. The resist platform has been evaluated with e-beam and EUV lithography.
Polymer-bound photobase generators and photoacid generators for pitch division lithography
Younjin Cho, Xinyu Gu, Yuji Hagiwara, et al.
The semiconductor industry is pursuing several process options that provide pathways to printing images smaller than the theoretical resolution limit of 193 nm projection scanners. These processes include double patterning, side wall deposition and pitch division. Pitch doubling lithography (PDL), the achievement of pitch division by addition of a photobase generator (PBG) to typical 193 nm resist formulations was recently presented.1 Controlling the net acid concentration as a function of dose by incorporating both a photoacid generator (PAG) and a PBG in the resist formulation imparts a resist dissolution rate response modulation at twice the frequency of the aerial image. Simulation and patterning of 45 nm half pitch L/S patterns produced using a 90 nm half pitch mask were reported.2 Pitch division was achieved, but the line edge roughness of the resulting images did not meet the current standard. To reduce line edge roughness, polymer bound PBGs and polymer bound PAGs were investigated in the PDL resist formulations. The synthesis, purification, analysis, and functional performance of various polymers containing PBG or PAG monomers are described herein. Both polymer bound PBG with monomeric PAG and polymer bound PAG with monomeric PBG showed a PDL response. The performance of the polymer bound formulations is compared to the same formulations with small molecule analogs of PAG and PBG.
Development of molecular resists based on Phenyl[4]calixarene for EBL
Masaaki Takasuka, Yu Okada, Hiromi Hayashi, et al.
In this paper, we report current performance of the negative-tone molecular resists based on calix[4]resorcinarene (CRA) by Electron Beam Lithography (EBL). We have developed hydroxyphenyl calix[4]resorcinarenes (H-CRAs) designed hydroxy-groups outer to adhere patterns to wafers. Hydroxy groups help patterns adhere to wafers, to restrain collapse of patterns. Moreover, we additionally controlled hydrophobicity of H-CRA by the alkyl-groups (R), which make the sensitivity higher. The negative-tone resist based on these H-CRAs shows well-defined 25-50nm half-pitch patterns, and the increase in hydrophobicity of H-CRA by the alkyl-groups (R) made the high sensitivity. Furthermore, the optimization of these resist formulation improved sensitivity and LER.
Development of new Si-contained hardmask for tri-layer process
Makoto Nakajima, Yuta Kanno, Wataru Shibayama, et al.
In the advanced semiconductor lithography process, the tri-layer process have been used for the essential technique{photoresist/ silicon contained hard mask (Si-HM) / spin on carbon hard mask (SOC)}(Figure 1). Tri-layer process was introduced and applied to the L/S and C/H patterning in the ArF dry and ArF immersion lithography process. Therefore, Si-HM should have the wider compatibility with different photoresist. In this paper, we investigate the interface behavior between photoresist and Si-HM in detail and get the new Si-HM to have the wider compatibility with different photoresist.
Etch durable spin-on hard mask
Makoto Muramatsu, Mitsuaki Iwashita, Takashi Kondo, et al.
As decreasing the device feature size, the film stack structure used in resist process is also changing. Especially multilayer stack film structure is getting popular for pattern formation on critical layers. Our approach is to form a spin-on hard mask film with high etch resistance by introduction of a new baking function. The results show that a spin-on hard mask film processed by an underlayer coating system of Tokyo Electron Ltd. (TEL) has drastically improved etch resistance compared to a conventional system. We will report the availability of new underlayer system using several kinds of underlayer materials.
Implementation of KrF DBARCs for implant applications on advanced lithography nodes
Joyce Lowes, Alice Guerrero, Michael Weigand, et al.
Traditional implant layers are becoming increasingly complex in design and continuously pushing resolution limits lower. In response, developer-soluble bottom anti-reflective coatings (DBARCs) were introduced to meet these more challenging requirements. These DBARCs excelled over the traditional combination of single-layer resist and dyed resist/top anti-reflective coating (TARC). DBARCs offered the resolution and critical dimension (CD) control needed for the increasingly critical implant layers. Lithographic performance, focusing on CD control over topography and through-pitch behavior, demonstrated the inherent benefit of the DBARCs over the alternative solutions. Small-space residue testing showed the benefit of photosensitive (PS) DBARCs for cleanout of sub-100 nm trenches. A study of improved post-develop residue in various ion-implantation processes validated the use of new DBARC materials in implant layers.
A study of conductive material for e-beam lithography
Wen-Yun Wang, Chen-Yu Liu, Tsung-Chih Chien, et al.
Unlike optical systems, electron-charging effect is a concern for e-beam lithography. Accumulated charge on the resist will perturb the route of incident electrons, resulting in pattern distortion or failure. Therefore, reducing charge accumulation becomes an important topic for high-pattern-density e-beam applications. In this paper, we used a conductive material as the resist substrate for charging effect evaluation. The e-beam source from CD-SEM (Critical Dimension SEM) was initially used to conductive performance qualification. When comparing with non-conductive BARC, we found that the experimental conductive material has an additional 11% to 14% resist-shrinkage than a non-conducting BARC. However, we cannot repeat this phenomenon in the multiple-e-beam (MEB) imaging tool. From Monte Carlo simulation, the electrons deeply penetrate through the substrate instead of being trapped in the resist substrate. It further indicates that although conductive bottom layer can dissipate electron effectively for surface charging, the film scheme as well as tool grounding are also important for minimizing the charging effect.
Development of plant-based resist materials in electron beam lithography
Satoshi Takei, Akihiro Oshima, Naomi Yanamori, et al.
Electron beam lithography has great potential for future production of nano-imprint templates, light-emitting diodes, solar cell devices, actuators, biosensors, and micro electro mechanical systems (MEMS) where continued success ultimately requires improvements in current processing technologies. Electron beam lithography is promising for advancing multiple electronic applications due to several advantages such as high resolution, deep depth of focus, flexibility in material design, and assumable cost. This study presents progress in the development of a new plant-based resist material (TPU-EBR1) to achieve high exposure sensitivity and lower film thickness shrinkage by electron beam irradiation. Highly efficient crosslinking properties and high quality patterning line images were provided by specific process conditions of 30 keV electron beam lithography. Lower film thickness shrinkage of the newly developed TPU-EBR than that of the referenced acrylate type resist material is one of key to achieve EB patterning. The validity of our approach using the developed TPU-EBR was confirmed experimentally. In addition, this new approach was demonstrated to apply glucose and dextrin derivatives as the eco-friendlier compounds to the resist materials in micro and nano-patterning processes for environmentally-compatible electronic device fabrications.
Environmentally friendly natural materials-based photoacid generators for next-generation photolithography
We describe the development of new triphenylsulfonium photoacid generators (TPS PAGs) with semifluorinated sulfonate anions containing glucose or other natural product groups, and their successful application to patterning sub-100 nm features using 254 nm and e-beam lithography. The TPS PAGs with functionalized octafluoro-3-oxapentanesulfonate were synthesized efficiently in high purity and high yield by utilizing simple and unique chemistries on 5-iodooctafluoro-3-oxapentanesulfonyl fluoride. The PAGs has been fully evaluated in terms of chemical properties, lithographic performance, environmental friendliness or toxicological impact. The PAGs are non-toxic and it is susceptible to chemical degradation and to microbial attack under aerobic/anaerobic conditions. These new PAGs are very attractive materials for high resolution photoresist applications and they are particularly useful in addressing the environmental concerns caused by PFOS and other perfluoroalkyl surfactants.
Synthesis and photo-initiated polymerization of silicon-containing hybrid monomers
Yuan Fang, Yingquan Zou
A series of silicon-containing hybrid monomers which contained both vinyl ether group and allyl ether group had been synthesized. The monomers' photo-polymerization kinetics was investigated with RTIR. Results showed that the hybrid monomers could photo-polymerize effectively and both free radical and cationic polymerization processes were improved. When PAG201 (a kind of cationic photo-initiator) was introduced into the monomers, the conversion of vinyl ether double bond increased sharply. The final conversion was close to 100%, and at the 6 sec, the polymerization achieved maximum. At the same time, the allyl ether double bond left. When 2-Isopropylthioxanthone (ITX, a kind of free radical photo-initiator) was introduced into hybrid system containing PAG201, the final conversion of allyl ether double bond and polymerization rate (Rp) increased obviously. It was demonstrated that the hybrid silicon-containing monomers polymerized rapidly and completely with both of the free radical and cationic photo-initiators. The property showed that the five monomers can be used in nanoimprint resist system or UV imaging materials.
Development of hard mask resist materials in nanoimprint lithography
Satoshi Takei, Tsuyoshi Ogawa
Hard mask resist material on photoreactive underlayer material was investigated to modify the film surface chemical adhesion between resist material and photoreactive underlayer material during ultraviolet irradiation of nanoimprint lithography. This procedure is proven to be suitable for resist material design and the process conditions of ultraviolet curing nanoimprint lithography for the reduction of defect numbers by template contamination when the template was removed from the hard mask resist after ultraviolet irradiation. The developed hard mask type silicon resist material with ultraviolet crosslinking epoxy groups produced high resolutions (80 nm) at a good aspect ratio, and excellent patterning dimensional accuracy by the replication (number of cycles: 32). The newly resist material and the process conditions are expected as one of the defect less nanoimprint lithographic technologies in next generation electronic devices.
Study on a few α-disulfone compounds as photoacid generators
Dongfang Guo, Juan Liu, Liyuan Wang
In this work a few α-disulfone compounds with different substituents were prepared by a simple nitric acid oxidation of corresponding disulfonylhydrazines which were prepared by reaction of sulfonyl chloride and aqueous hydrazine. Most of the compounds are soluble in common organic solvents for photoresists. The thermal decomposition temperatures of the compounds were detected to be above 190 °C. The UV absorption spectra of the α-disulfone compounds were measured with the absorption peaks (λmax) around 250 nm. Quantum yields of the disulfone compounds in solution were determined to be in the range of 0.4-0.6 with low pressure Hg lamp as exposure light source.
Sensitive polysulfone based chain scissioning resists for 193nm lithography
Yong Keng Goh, Lan Chen, Anneke Dorgelo, et al.
Chain scissioning resists do not require addition of photoacid generators to function. Previously reported chain scissioning polysulfone resists were able to achieve enhanced sensitivity by incorporation of absorbing repeat units, but these groups also inhibited the depolymerization reaction, which could further enhance sensitivity. Here we report the development of sensitive polysulfone chain scissioning resists for 193 nm that are able to undergo depolymerization. The effect of depolymerization of LER is also discussed. These polymers underwent CD shrinkage upon overdose, which may be useful for double patterning processes.
Comparisons of the new thick negative resist to Su8 resist
D. Bourrier, M. Dilhan, A. Ghannam, et al.
This work deals with recent advances in the microfabrication process technology for medium to high-aspect ratio structures fabricated by UV photolithography using different kinds of photoresists. The resulting structures were used as molds and will be transformed into metal structures by electroplating. Two types of photoresists are compared: epoxy-based (negative) SU-8 and acrylate-based (negative) Intervia BPN. This work was prompted by the need to find an alternative to SU-8 photoresist which is difficult to process and remove after electroplating. The results presented in this paper open up new possibilities for low-cost processes using electroplating in MEMS applications.
Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography
Michael Swope, Vandana Krishnamurthy, Zhimin Zhu, et al.
It is common knowledge that the semiconductor industry continues to shrink the features contained in integrated circuits to increase speed and density. Each time the critical dimension (CD) shrinks, new challenges arise to impede the progress to attain smaller feature sizes, and control over surface reflectivity becomes even more important. Single-layer bottom anti-reflective coatings (BARCs) have been used in photolithography processes for years to reduce substrate reflectance, thus reducing or eliminating CD swing, reflective notching, and standing waves. Continued use of this solution is highly advantageous because it is well-known and cost-effective. This paper will describe a cutting-edge BARC system that has tailorable optical constants designed specifically to greatly improve immersion lithography process latitude. This BARC system can be easily modified to make formulations that match many different substrates that are being used in new devices, including highly absorbing substrates (nitrides), reflective substrates (oxide), metal layers, and hardmasks. The optimum optical parameters for this BARC system can be easily achieved through simulations. This paper will exhibit the correlation between optical simulations and lithography results.
Developing directly photodefinable substrate guiding layers for block copolymer directed self-assembly (DSA) patterning
Directed self-assembly (DSA) of block copolymers has gained significant attention in recent years as a possible alternative for large area fabrication of future sub-30 nm lithographic patterns. To achieve this patterning, at least three critical pieces are needed: (1) a block copolymer with sufficient immiscibility of the two blocks to drive phase separation at the low molecular weights required to achieve such small phase domains, (2) a method for selectively removing one of the blocks after phase separation to achieve formation of a relief pattern, and (3) a method for producing the templated surfaces used to guide and register the phase separated patterns on the substrate of interest. Current methods for achieving the patterned substrate template, whether they are of chemoepitaxial or graphoepitaxial nature, are generally complex involving a large number of steps that are not easily applied to a variety of different substrate surfaces. For example, numerous substrates have been studied to provide neutral wettability to the styrene-methacrylate (PS-b- PMMA) block copolymers, such as random styrene-methacrylate copolymer films (PS-r-PMMA) or self-assembled monolayer (SAM) modified surfaces, which induce perpendicularly oriented morphologies for PS-b-PMMA self-assembly. In the case of chemical epitaxy processes, a layer of photoresist is generally then coated on such neutral substrate films and patterned to render commensurability to the periodicity of the PS-b-PMMA being used. The open (i.e. space) regions in the resist are then exposed to alter their chemistry, e.g. soft X-ray or oxygen plasma exposures have been used, to achieve hydrophilicity which should preferentially wet PMMA. Finally, the resist is stripped and the block copolymer is coated and assembled on the template surface. Obviously such multi-step processes would not be preferred if alternatives existed. As a step toward that goal of making DSA processes simpler, a photodefinable substrate film that can be used for PS-b-PMMA self-assembly has been developed in this work that is coated, exposed, and baked in a manner analogous to current optical photoresists. The polymer resin for this material is a form of protected poly(hydroxystyrene) that is cross-linkable. The new material is suitable for DSA processes using both solvent and thermal annealing methods. Results of PS-b-PMMA DSA on this new material will be discussed.
Poster Session: Novel Processes
icon_mobile_dropdown
Using positive photomasks to pattern SU-8 masking layers for fabricating inverse MEMS structures
Scott A. Ostrow II, Jack P. Lombardi III, Ronald A. Coutu Jr.
This paper discusses a novel processing technique that uses a combination of negative and positive photoresists for use with positive photomasks, resulting in masking layers suitable for bulk micromachining in the fabrication of microelectromechanical systems (MEMS). MicroChem's negative photoresist Nano(TM) SU-8 and Clariant's image reversal photoresist AZ 5214E are utilized, along with a barrier layer, to effectively convert a positive photomask into a negative photomask. This technique allows for the use of positive photomasks with negative resists, which opens the door to the ability to create complementary mechanical structures without the fabrication delays and cost associated with having to obtain a negative photomask. Furthermore, the SU-8 mask created is robust enough to withstand the bulk micromachining and aggressive etch chemistries needed to create MEMS structures. This processing technique was successfully demonstrated by translating a positive photomask to an SU-8 layer that was then utilized as a mask to etch trenches and other features into a silicon substrate.
Negative photo-imageable spin-on dielectrics: report on progress, challenges, and opportunities
Ruzhi M. Zhang, Chien-Hsien S. Lee, Elizabeth Wolfer, et al.
From the perspectives of IC fabrication simplification, cost reduction, and waste material cutback, it is highly desirable to combine the traditional pattern formation step (lithographical processes) and the pattern transfer step (etch processes) into a single step. Photo-imageable spin-on dielectrics (PSOD) render it possible to achieve the aforementioned goal. However, the bestowed dual functionalities on PSOD put great challenges on the material design and development. PSOD needs not only to match all the performances of the advanced resists, but also to undertake all the duties of the dielectrics on the chips. We wish to report our modular approach employing Si-containing materials to address the challenge and to meet the requirements from the different material roles. This paper will also discuss the investigation and progress on lithographic performance, cure behaviors, thermal stability, and electrical and mechanical properties.
Performance of tri-layer process required for 22 nm and beyond
Yayi Wei, Martin Glodde, Hakeem Yusuff, et al.
Silicon-containing antireflection coating (SiARC) and spin-on carbon (SOC) under-layers have been widely implemented for advanced semiconductor manufacturing since the 45 nm node. The combination of SiARC and SOC promises a superior solution for reflection control and a high etch selectivity. With the industry marching towards 22 nm and beyond, the tri-layer materials and processes are being finely tuned to meet the requirements. We report comprehensive evaluation results of the SiARC (with high silicon content) and carbon under-layer from manufacturing perspective. It focuses on the performances that are required to extend the tri-layer applications from the original 45 nm nodes to 22 nm and beyond, such as thickness selection, etch selectivity, resist compatibility, rework capability, and under-layer pattern wiggling issues.
Regeneration of imprint molds using vacuum ultraviolet light
Masashi Nakao, Masanori Yamaguchi, Shintaro Yabu
Etching characteristics of various resins by a vacuum ultraviolet (VUV, λ=172 nm) light have been examined under conditions of exposure time, substrate temperature, radiation distance and ambient oxygen concentration. The VUV light have used to clean the imprinted molds which are contaminated by organic substances such as ultraviolet-resins through many times of imprinting processes, and it has revealed that the VUV light has effectively regenerated the contaminated molds manufactured by quartz, silicon-carbide and nickel.
Plasma etching of high-resolution features in a fullerene molecular resist
J. Manyam, M. Manickam, J. A. Preece, et al.
As resist films become thinner, so as to reduce problems of aspect ratio related pattern collapse at high-resolution, it is becoming increasingly difficult to transfer patterns with useful aspect ratio by directly etching the resist. It has become common to use the photoresist to pattern an intermediate hardmask, which then protects the silicon substrate during etching, allowing useful aspect ratios but adding process complexity. We have previously described a fullerene based electron beam lithography resist capable of 20 nm halfpitch and 12 nm sparse features, at a sensitivity of less than 10 μC/cm2 at 20 keV. The fullerene resist has high etch durability - comparable to that of commercial novolac resists - and has previously demonstrated an etch selectivity of 3:1 to silicon using electron cyclotron resonance microwave plasma etching with SF6. Here a study of the capabilities of this resist when using Inductively Coupled Plasma etching is presented. Line-space patterns with half-pitches in the range 25 nm to 100 nm, together with sparse features (~20 nm linewidth on a 200 nm pitch) were produced in ~30 nm thick resist films using electron beam lithography, and transferred to silicon using an inductively coupled plasma etcher. Several combinations of SF6, CF4, CHF3 and C4F8process gases were explored. Etch selectivity and anisotropy were studied as a range of etching parameters, such as ICP and RF power, gas flow rate, pressure and temperature were varied. Etch selectivities in excess of 9:1 were demonstrated. Techniques for minimizing aspect ratio dependent etching effects in dense features, including the use of ashing or high etching pressures were also examined.
Method of ellipsometric characterization of the resist and DBARC interface
Michael Reilly, James F. Cameron, Sabrina Wong, et al.
Anti-reflective layers benefit CD and profile control in high resolution lithography. Traditionally, they have not been applied to implant levels because the required CD control was less stringent than for other layers. When present a traditional BARC film interferes with the doping process unless removed using a costly dry BARC open etch. Nevertheless, as implant features move to evermore challenging k1 values, reflection control becomes more unavoidable; firstly to control residual standing wave structures on the resist profile and secondly to maintain acceptable CD dimensions on substrates which exhibit large reflectivity variations at both the cross-wafer and the wafer-to-wafer level. An important consideration for this application is to monitor and minimize intermixing between the resist and DBARC layers. Any method to do so must be sensitive to layers less than 10 nm thickness. The use of ellipsometry is investigated in this work to show the degree to which intermixing, if any, is detectable. A method and its requirements are described that predicts intermixing down to Angstrom thickness. Cross-section SEM shows the effect from an optimized process (no intermixing).
Process optimization of high aspect ratio sub-32nm HSQ/AR3 bi-layer resist pillar
Wei-Su Chen, Ming-Jinn Tsai
RRAM is the candidate of next generation new non-volatile memory. The etched stacking film thickness of RRAM cell pillar is not easy to reduce below 50 nm during CD scaling down since part of RRAM cell pillar height is removed during CMP polishing of dielectric passivation to expose the pillar top surface for the following metallization process. Therefore resist pillar pattern with high aspect ratio (AR) is needed to act as etch mask for defining thick RRAM cell pillar structure. Bilayer resist (BLR) process is most suitable for forming high AR pattern. Dry develop process is the key step for generating sub-32 nm high AR BLR pillar pattern. In this study optimization of dry develop process is investigated for high AR pillar with hydrogen silsesquioxane (HSQ) as upper thin imaging layer for e-beam exposure and AR3-600 as the thick underlayer for etching resistant. Experimental results are summarized below. Highest AR of ~6 for HSQ/AR3 BLR semi-dense L/S=1/2 pillar with vertical profile is obtained under optimized dry develop condition with O2, N2, Ar flow rates, chamber pressure, top and bottom power of 8, 5, 0 sccm, 1 mTorr, 200 and 100 watts respectively. AR is lower for looser pattern density. CD variation between HSQ/AR3-600 BLR pillars with different pattern density is optimized to 5.6 nm. The pillar profile is vertical in vacuum for pattern of any density but distorts more severe for denser pattern during ventilation to atmosphere. The most critical process parameters for obtaining high aspect ratio BLR pillar are O2 flow rate and top power. Sidewall profile angle of pillar is mainly dependent on chamber pressure and bottom power.
The enhanced photoresist shrink process technique toward 22nm node
Kenichi Oyama, Shohei Yamauchi, Kazuo Yabe, et al.
In fine patterning process technology, the pattern shrink process technique is indispensable in addition to pitch shrink. Tokyo Electron has previously demonstrated the application of this technique to trench-pattern shrink for dual trench LELE, simple hole shrink for the circular pattern, and rectangle pattern shrink for cut mask of SADP+line cut. In this paper, we introduce technology that can shrink photoresist for application to a short-trench and contact hole pattern. Using chemical shrink as a reference for comparison, we report on the effectiveness of TEL's original ALD SiO2 shrink process. In addition, we propose various contact pitch shrink schemes for applying double patterning technique.
LWR reduction and flow of chemically amplified resist patterns during sub-millisecond heating
Byungki Jung, Christopher K. Ober, Michael O. Thompson, et al.
Chemically amplified resists are critical for sub-30 nm photolithography. As feature sizes decrease, challenges continue to arise in controlling the aerial image during exposure, acid diffusion during post exposure bakes, and swelling during development. Ultimately these processes limit the line width roughness (LWR). While there exists substantial research to modify resists and exposure protocols, post-development treatment of resist patterns to improve the LWR has received only modest attention. In this work, we use a scanned laser spike annealing system to anneal fully developed resist patterns at temperatures of 300-420oC for sub-millisecond time frames. When heated above its glass transition temperature for a controlled time, patterned resist flows to minimize the surface energy resulting in reduced roughness. While LWR and critical dimension (CD) of the resist is very sensitive to the hardbake temperature, SEM and AFM analysis show a >30% reduction in LWR with <1 nm change in CD at 26W (385oC) hardbake power compared to that of features without hardbake. Quantitative determination of surface roughness, resist trench profiles, LWR, and CD is presented and discussed.
Critical challenges for non-critical layers
J. M. Gomez, I. Y. Popova, B. Zhang, et al.
Historically, the block layers are considered "non critical ", as ones requiring less challenging ground rules. However, continuous technology-driven scaling has brought these layers to a point, where resolution, tolerance and aspect ratio issue of block masks now present significant process and material challenges. Some of these challenges will be discussed in this paper. In recent bulk technology nodes, the deep well implants require an aspect ratio of up to 5:1 in conventional resist leading to small process margin for line collapse and/or residue. New integration schemes need to be devised to alleviate these issues, i.e. scaling down the energy of the implant and the STI deep trench to reduce resist thickness, or new hard mask solutions with high stopping power to be dry etched. Underlying topography creates severe substrate reflectivity issues that affect CD, tolerance, profiles and defectivity. In addition to the CD offset due to the substrate, the implant process induces CD shrinkage and resists profile degradation that affects the devices. Minimizing these effects is paramount for controlling implant level processes and meeting overall technology requirements. These "non-critical" layers will require the development of more complex processes and integration schemes to be able to support the future technology nodes. We will characterize these process constraints, and propose some process / integration solutions for scaling down from 28nm to 20 nm technology node.
SUEX process optimization for ultra-thick high-aspect ratio LIGA imaging
Donald W. Johnson, Jost Goettert, Varshni Singh, et al.
The focus of this paper is on the use of SUEX Thick Dry Film Sheet (TDFS) laminates which DJ DevCorp is developing as a thick resist material in optical and X-ray lithography. Preliminary thick dry film sheets up to 1mm thickness were successfully prepared and patterned at the CAMD X-ray beamlines and presented at HARMST 2007. Recently, new results have been published using SUEX resist sheets in UV lithography showing great market potential including plating molds for metal microparts, polymer MEMS, multilayer microfluidics structures, BioMEMS, medical devices, wafer level packaging processes, and displays. The SUEX TDFS are available in a range of thicknesses from 100μm to 1mm or more and are pre-cut into a number of standard wafer sizes. This new material is a modified epoxy formulation containing an antimony-free photo acid generator (PAG) prepared under a highly controlled solvent-less process which provides uniform coatings between two throw-away layers of protective polyester film. As part of our initial studies resist layers of 250, 500 and 1000μm were laminated onto regular silicon wafers using a hot roll laminator at a speed of 1ft/min at 75°C. The entire substrate preparation takes about 1 hour and with practice users can prepare up to 10 substrates in this time which are typically ready to use within 2 hours. In our efforts to develop a commercially viable product we have conducted experiments using standard equipment available at CAMD (Quintel UV aligner and CAMD XRLM 1 and 4 beamline). Initial X-ray exposure tests were done with a bottom dose ranging between 100 and 400 J/cm3 and a top/bottom dose ratio of less than 3 for sheets up to 2mm in thickness. Exposure time for typical conditions of the CAMD storage ring (ring current ranging between 100 and 160mA, beam lifetime of about 10hrs at 100mA ring current) is about 10-15min for a 4' wafer. After exposure the samples were immediately post exposure baked between 70°C and 110°C using a convection oven, taken out and cooled to RT then relaxed up to 3 days before development to reduce stress. Development was done in PGMEA for up to 3 hours for the 1000μm thick samples followed by a short IPA rinse and drying in air. Very high aspect ratios of 100 or more have been routinely patterned with nearly perfectly straight sidewalls (~1-1.5μm deviation for a 1mm tall structure) and excellent image fidelity.
E-beam patterning and stability study of sub-22nm HSQ pillars
Wei-Su Chen, Ming-Jinn Tsai
E-beam exposed HSQ resist pillar (island) is commonly used as the hard mask for dry etching. However, HSQ pillar is prone to collapse without any substrate pre-treatment. CD resolution of HSQ pattern also depends on the aging effect. In this work, factors of (1) designed CD (DCD) (2) dose vs L/S ratio (3) beam current (4) underlayer (UL) (5) post-coat-delay (PCD) time before e-beam writing are studied for forming stable and reproducible sub-22 nm HSQ pillar. Three kinds of underlayer are evaluated, i.e. AR3-600, ZEP520A and TDUR-N700. Experimental results are summarized below. A wider dose window of forming sub-22 nm HSQ pillar with looser L/S ratio or smaller designed CD is obtained. CD variation for all pattern density conditions is due to the proximity effect from beam blur. AR3-600 is shown to be the most suitable UL for HSQ pillar. CD of HSQ pillar increases with thicker AR3-600 layer. PCD range for stable CDs of HSQ pillar with DCD of 20 nm is larger than that with 15 nm.
Poster Session: Manufacturing
icon_mobile_dropdown
A study of an acid-induced defect on chemically amplified photoresist applied to sub-30nm NAND flash memory
Yong-Hyun Lim, Jae-Doo Eom, Woo-Yung Jung, et al.
Recently, we found a peculiar acid induced defect on chemically amplified photo resist applied to sub- 30nm NAND Flash Memory. This defect is like a hole-pattern with about 1um diameter, and induced by diffusion of acid which makes photoresist soluble in developer, even though photoresist is not exposed with KrF. With some experiment results, we found out that HCl gas, by-product of high temperature oxide which is contained inside voids between two gate lines diffuses into photoresist through high temperature oxide from voids, makes photoresist soluble in developer, and eventually creates the hole-type defect on photoresist. To prevent this defect, we can suggest some methods which are substitution of KrF photoresist into I-line photoresist, modification of oxide deposition recipe to suppress by-product, and applying of non-CAR (Chemically Amplification Resist) type KrF photoresist not sensitive to acid.
Investigation of processing performance and requirements for next generation lithography cluster tools
In this paper we summarize our investigations into processing capability on the CLEAN TRACKTM LITHIUS ProTM -i & TWINSCANTM NXT:1950i litho cluster. Process performance with regards to critical dimension (CD) uniformity and defectivity are investigated to confirm adherence to ITRS1 roadmaps specifications. Additionally, a study of wafer backside particle contamination is performed to understand the implications towards processing. As wafer stage chuck cleaning on the scanner will require considerable down time, this study is necessary to understand the requirements for manufacturability. Previous work from our collaboration succeeded in a processing improvement of over 80% in across wafer CD variation by implementing the newest post exposure bake (PEB) plate design2 and optimized developer process. With regards to defectivity, the use of the advanced defect reduction (ADR) process with an optimized bevel cut of the resist allowed the use of a high contact angle material process which is required for optimal immersion hood performance. In this work, further optimization of the process with consideration of the design concept of the TWINSCANTM NXT:1950i and hardware modifications on the CLEAN TRACKTM LITHIUS ProTM -i will be performed. From this investigation, it is expected to understand the process capability of 38nm CD uniformity using novel developer hardware. Additionally, the defectivity challenges for processing with higher scan speeds in combination with the hydrophobicity of the coating materials and edge cut strategy will be clarified. Initial evaluation results are analyzed to understand the correlation of various types and densities of contaminates on the backside of the wafer to the formation of wafer stage chuck focus spots (FS). Focus spots are a localized irregular focus and leveling height.
Characterization of filter performance on contact-hole defectivity
J. Braggin, N. Vitorino, V. Monreal, et al.
The effect of filtration on defectivity has been studied extensively with line-space patterns. However, the ability to have defect free contacts is equally as important. Resist materials are specifically designed for contact holes, and therefore it is important to also study their varied sources of defectivity. In this study, unpatterned and patterned wafer defectivities have been studied as a function of point of use filter. The filter retention rating was held constant at 10 nm while the filter membrane material was varied, including ultra-high molecular weight polyethylene (UPE), Nylon and composite filters. A recommendation will be made as to which point-of-use filter performed best with the contact hole specific resists tested.
Improving material-specific dispense processes for low-defect coatings
Nick Brakensiek, Jennifer Braggin, John Berron, et al.
The drive to smaller, less expensive, and faster devices requires radical changes in material development. The increased material requirements drive complex processes that in turn drive equipment requirements. For the photolithography area this demand for improved materials is seen in growing requests for device level-specific tuning of organic bottom antireflective coatings (BARCs) or photoresists for certain imaging requirements, such as numerical aperture, immersion conditions, and optical parameters. To test and utilize the myriad of BARC materials, there is a need to install them on a coater-track quickly and efficiently. Installation typically requires a new filter installation, dispense line cleaning, and usually a minimum of 8-10 L of material to clear out bubbles and other nuisance defects before coating test wafers. As the number of materials increases, the ability to quickly prime a new filter becomes increasingly important. In this study, the Entegris IntelliGen® Mini dispense system was utilized to test various pump priming processes to ultimately minimize the volume purged to reach a defect baseline. In addition, the impacts of the filter media and filter retention on priming efficiency were studied. Results show that priming processes that were not matched to the filter in use could actually cause the defects to increase during the process, thus requiring additional purging to reach baseline, and thereby negating any time or volume savings. Properly programmed priming recipes reduced the purging time and the purging volume by 50-70%.
Resist dispense system for further defect reduction
Yusuke Yamamoto, Kouzo Nishi, Koji Takayanagi, et al.
As pattern size becomes smaller, requirement for defect reduction is getting higher and higher. It is known that defects occur in various steps of lithography process. In this study, we focus on defects related to the resist dispense system. Of those defects, the most typical is bridge type defect which caused by foreign substances contained in resist film. The source of those is considered to be insoluble substances, such as resist gels, in resist liquid. So far, the conventional countermeasure has been the development of resist line filters (optimization of materials, shrinking of pore size, and so on). But, according to the recent reports and our experimental result, we can say that not only filter type but also filtration condition has certain influence on bridge type defect generation. In this study, we examine the influences of resist dispense system and its parameters on bridge type defect generation. This paper provides some experimental data and introduces our approaches to the optimization of resist dispense system and its effects.