Proceedings Volume 7927

Advanced Fabrication Technologies for Micro/Nano Optics and Photonics IV

cover
Proceedings Volume 7927

Advanced Fabrication Technologies for Micro/Nano Optics and Photonics IV

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 7 February 2011
Contents: 10 Sessions, 33 Papers, 0 Presentations
Conference: SPIE MOEMS-MEMS 2011
Volume Number: 7927

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 7927
  • Nanofabrication I: Photonic Nanostructures
  • 3D Lithography
  • Advanced Lithography
  • Laser-based Fabrication
  • Micro and Nano-optics
  • Nanofabrication II: Growth and Deposition
  • Active Optical Device Fabrication
  • Nanofabrication III: Passive Optical Devices
  • Poster Session
Front Matter: Volume 7927
icon_mobile_dropdown
Front Matter: Volume 7927
This PDF file contains the front matter associated with SPIE Proceedings Volume 7927, including the Title Page, Copyright information, Table of Contents, and Conference Committee listing.
Nanofabrication I: Photonic Nanostructures
icon_mobile_dropdown
Photolithographic fabrication of slot waveguides
Alexander Spott, Ran Ding, Tom Baehr-Jones, et al.
Silicon nano-slot waveguides have proven to be useful for a variety of applications, including nonlinear optics, biosensing, and electrooptic modulation. In particular, an electrooptic polymer clad, electrically contacted, strip-loaded slot waveguide design has been shown to be particularly useful for high-bandwidth electrooptic modulators. One of the significant challenges for many of the applications of these waveguides is the necessity of low waveguide losses. We demonstrate the ability to fabricate single mode strip-loaded slot waveguides, with losses as low as 6.5 dB/cm, using conventional stepper-based photolithography. Additionally, we discuss the benefits of an asymmetric slot waveguide design and present improved losses as low as 2 dB/cm for both asymmetric strip-loaded slot waveguides and regular asymmetric slot waveguides fabricated in a different photolithographic process.
Environmentally responsive active optics based on hydrogel-actuated deformable mirror arrays
We report hybrid polymer actuator arrays based on environmentally responsive hydrogel and actuatable optical microstructures that are designed to reversibly switch optical properties in response to the environment. Arrays of micrometer scale plates were patterned by deep reactive ion etching of silicon which served as master structures for replica molding in polydimethylsiloxane (PDMS). UV-curable epoxy was cast in a metal-sputtered PDMS mold to transfer a thin metal film onto each microplate to form a micromirror array. Polyelectrolyte hydrogel, such as poly(acrylamide-co-acrylic acid), was patterned on the micromirror array and acted as an artificial muscle, bending the micromirrors in response to the change in humidity or pH. Such hybrid systems showed reversible switching between high transmittance (low reflectivity) and low transmittance (high reflectivity) without the aid of external power. Our design of hybrid actuated optics opens a broad avenue for developing environmentally responsive adaptive and active optics.
3D Lithography
icon_mobile_dropdown
Advanced fabrication methods for 3D meta-optics
Micro-Optics has expanded to include a wide variety of applications for spectral filtering, polarization filtering and beam shaping. Recently, a new class of optical elements have been introduced that can combine the spectral, polarization, and beam conditioning into the same optical element. This engineered optical functionality results in a 3D Meta-Optic structure that relies on sub-wavelength features to essentially engineer the electromagnetic fields within the structure; thereby, resulting in highly dispersive structures that spatially vary across the optical element. This talk will summarize recent results in the design, fabrication and applications of 3D Meta-Optics.
A new fabrication method for 3D Si-based photonic crystal structures
We have designed and developed a new, simplified 3-dimensional (3D) Photonic Crystal (PhC) fabrication technique that can be used to fabricate a nanoscale 3D structure from the 2D surface of a Si (or SOI) wafer with a single lithography and self-aligned etching sequence. This technique produces deep trenches with controlled width variation along the vertical direction. Using an alternating sequence of Bosch etches, a combined cryogenic etching and/or chemical etching process, allows the Bosch etched layers to maintain the width defined by the mask, while the cryogenic/chemical etched layer creates a lateral undercut that decreases the width beneath the surface. The result is a 3D lattice structure with a stack of vertical square grids. This paper reports the experimental procedures and results of fabrication of a 3D lattice structure that forms an array of hollow-core waveguides. We also compare several different etch recipes for the attempt to produce a uniform structure with smooth walls. These techniques will reduce overall fabrication cost, increase yield and are compatible with CMOS processing. Using this method, one can fabricate a variety of Si/SOI based 3D PhC structures including hollow-core, high contrast grating, waveguide arrays.
Selective inhibition of polymerization enables sub-diffraction optical lithography
Benjamin Harke, Paolo Bianchini, Fraz Anjum, et al.
We show that after two-photon excitation of the photo initiator we are able to inhibit the polymerization process with a second beam of different wavelength. For achieving sub-diffraction resolution this is one of the key elements. Remarkably is that these experiments can be performed with a commercially available STED microscope slightly modified for two-photon-excitation (TPE). First experiments featuring an enhanced resolution will be presented.
Advanced Lithography
icon_mobile_dropdown
On the evolution of wafer level cameras
H. Welch
The introduction of small cost effective cameras based on CMOS image sensor technology has played an important role in the revolution in mobile devices of the last 10 years. Wafer-based optics manufacturing leverages the same fabrication equipment used to produce CMOS sensors. The natural integration of these two technologies allows the mass production of very low cost surface mount cameras that can fit into ever thinner mobile devices. Nano Imprint Lithography (NIL) equipment has been adapted to make precision aspheres that can be stacked using wafer bonding techniques to produce multi-element lens assemblies. This, coupled with advances in mastering technology, allows arrays of lenses with prescriptions not previously possible. A primary motivation for these methods is that it allows the consolidation of the supply chain. Image sensor manufacturers envision creating optics by simply adding layers to their existing sensor fabrication lines. Results thus far have been promising. The current alternative techniques for creating VGA cameras are discussed as well as the prime cost drivers for lens to sensor integration. Higher resolution cameras face particularly difficult challenges, but can greatly simplify the critical tilt and focus steps needed to assemble cameras that produce quality images. Finally, we discuss the future of wafer-level cameras and explore several of the novel concepts made possible by the manufacturing advantages of photolithography.
Fabrication of large-area metal nanoparticle arrays by nanosphere lithography for localized surface plasmon resonance biosensors
R. C. Denomme, K. Iyer, M. Kreder, et al.
The localized surface plasmon resonance (LSPR) phenomenon that is characteristic of gold and silver nanoparticles has applications in areas such as portable and remote chemical and biological sensing. However, fabrication of metal nanoparticle arrays with high uniformity and repeatability, at a reasonable cost, is difficult. Nanosphere lithography (NSL) has been used to produce inexpensive nanoparticle arrays, through the use of monolayers of self-assembled microspheres as a deposition mask. However, lack of control over the location and size of the arrays, as well as poor uniformity over large areas, limits its use to research purposes. Here, we present large-area fabrication of nanoparticle arrays through both convective self-assembly NSL (CSANSL) and our new method, geometrically confined NSL (GCNSL). In GCNSL, microsphere assembly is confined to geometric patterns defined in photoresist. We show that 400nm polystyrene microspheres can be assembled inside of large arrays of photoresist trenches from 4-20μm in width and 500μm in length, with high uniformity, repeatability, and quality. Compared to CSANSL, GCNSL allows precise patterning of nanoparticle arrays for use in practical LSPR sensing devices, while still remaining inexpensive.
Laser-based Fabrication
icon_mobile_dropdown
Direct laser writing and applications of dielectric microstructures with low refractive index contrast
Vygantas Mizeikis, Vytautas Purlys, Lina Maigyte, et al.
High versatility of direct laser write (DLW) technique makes it ideally suited for fabrication of 3D micro- and nano-structures with complex lattice topologies. However, DLW typically exploits irreversible photomodification of materials, for example optical breakdown or photopolymerization. Here we describe application of DLW technique for structuring of iron-doped lithium niobate (LiNbO3:Fe) crystals. This approach exploits reversible photomodification resulting in low refractive index modulation (~10-3) via photorefractive effect. We demonstrate formation of meta-stable, optically reconfigurable structures that can be erased or re-written without permanent optical damage to the host crystal. All-optical recording-erasure cycle may allow realization of dynamically modifiable photonic microstructures, such as waveguides, diffractive elements and optical memories.
2D nanosphere lithography by using surface plasmon-enhanced optical trapping
Y.-C. Li, C.-Y. Lin, K.-C. Chiu, et al.
A two-dimensional (2D) surface plasmon (SP)-enhanced optical trapping system based on a single high numerical aperture objective has been developed. The system can be utilized to trap dielectric particles and simultaneously provide imaging. The 40-fold electric field enhancement, and hence strong 2D trapping force distribution with SP excitation through a gold film with a thickness of 45 nm in the near infrared region, was analyzed. The strong trapping force and high-resolution trapping image of nanoparticles can be concurrently achieved via the same high NA objective. The developed SP-enhanced trapping system was successfully applied to efficiently trap dielectric particles with a size down to 350 nm on a cover slip surface and allows for real-time imaging observation. Also, in order to further increase the penetration depth and the electric field of the evanescent wave, a coupled-waveguide surface plasmon resonance configuration consisting of a five-layer structure of Bk7/Au/SiO2/Au/H2O for two-dimensional optical trapping has been developed. Theoretical analysis shows that the maximum enhancement of the local electric field intensity is about 60-fold while the penetration depth is about 1 μm at the resonance angle. The trapped and aligned dielectric single layer particles were spread over a large area with a reduction in feature size to form a hexagonally close-packed (HCP) pattern on a cover slip surface. The HCP pattern has the potential for well-ordered 2D nanosphere lithography.
Micro and Nano-optics
icon_mobile_dropdown
Fabrication of singulated micro-retro-reflectors for textured surfaces
Menelaos K. Poutous, Michael J. Maston, Stephen Leibholtz, et al.
The application of clusters of independent micro-retro-reflectors on various surfaces is of interest for cases of detection, identification and optical discrimination; both for distance viewing and machine remote vision. We present the fabrication steps for singulated micro-retro-reflectors using conventional photolithography and optical coating processes. The micro-optical elements presented here retro-reflect coherent or incoherent interrogating light beams, at large distances, with a high optical signal-to-background ratio. Due to the unpredictable topography of target surfaces, it was desirable for the individual retro-reflectors to be small (<50μm on a cube side or diameter on a sphere), but not as small as to be biological inhalation hazards. They were also required to be chemically inert. The retro-reflectors were tested at visible and near infrared wavelengths.
Freeform micromachining of an infrared Alvarez lens
Paul J. Smilie, Brian S. Dutterer, Jennifer L. Lineberger, et al.
In 1967, Luis Alvarez introduced a novel concept for a focusing lens whereby two transmitting elements with cubic polynomial surfaces yield a composite lens of variable focal length with small lateral shifts. Computer simulations have demonstrated the behavior of these devices, but fabricating the refractive cubic surfaces of the types needed with adequate precision and depth modulation has proven to be challenging using standard methods, and, to the authors' knowledge, Alvarez lens elements have not been previously machined in infrared materials. Recent developments in freeform diamond machining capability have enabled the fabrication of such devices. In this paper, we discuss the fabrication of freeform refractive Alvarez elements in germanium using diamond micro-milling on a five-axis Moore Nanotech® 350FG Freeform Generator. Machining approaches are discussed, and measurements of surface figure and finish are presented. Initial experimental tests of optical performance are also discussed.
Wafer level glass optics: precision glass molding as an alternative manufacturing approach
Martin Huenten, Daniel Hollstegge, Fei Wang, et al.
In this paper the manufacturing approach of wafer scale molded glass optics is described including a detailed view on each process step that needs to be accomplished. At first, an appropriate mold design based on FE-simulation results is applied. As in every replication process the mold is the key factor of success which is precision ground to its required shape. At this point different grinding kinematics with their characteristics are presented. In the final molding process one of the major challenges is the alignment of the upper and lower mold die to guarantee the centricity of the optical surfaces.
Manufacturing of cylindrical diffractive lens by ruling
J. Väyrynen, T. Saastamoinen, J. Mutanen, et al.
A Moore 350FG 5-axis ultra precision machine tool platform with diamond tooling was used to generate 10 mm x 10 mm cylindrical diffractive lens on electroless nickel insert. An electroless nickel insert was machined by diamond turning and a diffractive collimating lens was cut by ruling with a sharp diamond tool. The path from optical designing to machining of the lens was proven. Selection of the tool type, stability of the cutting process and tool path programming play an important role in the outcome of the lens. This method will produce high quality diffractive lenses for further replication processes although great care must be taken in controlling the machining process stability.
Diamond milling or turning for the fabrication of micro lens arrays: comparing different diamond machining technologies
Sebastian Scheiding, Allen Y. Yi, Andreas Gebhardt, et al.
Diamond-micro milling and ultra-precision free-form turning technologies for fabricating micro lens arrays (MLA) with a large number of lenslets are explained in detail and compared. Besides the programming of the toolpath, correction loops and cutting parameters are presented. Both technologies are compared regarding achievable form deviation, roughness and economic factors like machining time. The paper offers a guideline for ultra-precision machining of micro lens array master molds on planar substrates and curved surfaces.
Fabrication of single-mode channel waveguides via microfluidics
We report on the fabrication of single-mode channel waveguide structures via the vacuum assisted microfluidic technique. The soft lithographic technique in conjunction with specially designed photomask patterns and UV curable epoxy resins can result in a high yield, cost-effective method for fabrication of channel waveguides. In particular, the use of microfluidic technique allows for the production of freestanding high quality single-mode channel waveguides on various substrates. The viscosity limitation of the microfluidic fabrication of small cross-sectional waveguide structures, especially single-mode channel waveguides at visible wavelengths, has been overcome through the introduction of sectional flow tapers.
Nanofabrication II: Growth and Deposition
icon_mobile_dropdown
Microfabrication of microsystem-enabled photovoltaic (MEPV) cells
Gregory N. Nielson, Murat Okandan, Jose L. Cruz-Campa, et al.
Microsystem-Enabled Photovoltaic (MEPV) cells allow solar PV systems to take advantage of scaling benefits that occur as solar cells are reduced in size. We have developed MEPV cells that are 5 to 20 microns thick and down to 250 microns across. We have developed and demonstrated crystalline silicon (c-Si) cells with solar conversion efficiencies of 14.9%, and gallium arsenide (GaAs) cells with a conversion efficiency of 11.36%. In pursuing this work, we have identified over twenty scaling benefits that reduce PV system cost, improve performance, or allow new functionality. To create these cells, we have combined microfabrication techniques from various microsystem technologies. We have focused our development efforts on creating a process flow that uses standard equipment and standard wafer thicknesses, allows all high-temperature processing to be performed prior to release, and allows the remaining post-release wafer to be reprocessed and reused. The c-Si cell junctions are created using a backside point-contact PV cell process. The GaAs cells have an epitaxially grown junction. Despite the horizontal junction, these cells also are backside contacted. We provide recent developments and details for all steps of the process including junction creation, surface passivation, metallization, and release.
Atomic layer epitaxy of TiO2/ZnO multilayer optics using ZnO buffer layer for water-window x-ray
A novel TiO2/ZnO multilayer deposited by atomic layer epitaxy technique has been fabricated to achieve a high reflective mirror and an attosecond chirped mirror in soft-x-ray "water-window" (λ=2.332-4.368 nm) wavelengths region. The technique in this study is able to satisfy reguirements for atomic layer control through epitaxial growth using sequential surface reaction and self-limiting nature. In preliminary experimental studies, both rutile TiO2 (200) and wurtzite ZnO (0001) thin films were grown epitaxially on the same sapphire (0001) substrates at 450°C and moreover a high reflectivity of 29.8% was obtained at around 2.734 nm and a grazing angle of 2θ=10°. The authors conducted the ALE experiment of TiO2/ZnO multilayer using a ZnO buffer layer. As a result, the multilayer using a buffer layer was able to be grown epitaxially on not only sapphire (0001) but also Si (100). In addition, reflectivity of multilayer remained to be 24.6% even on Si (100) in contrast with that about 27.5% on sapphire (0001) at grazing angle of 2θ = 8°. Thus, the ZnO buffer layer becomes the key layer to fabricate the TiO2/ZnO multilayer on various substrates. In the presentation, ALE of TiO2/ZnO multilayer mirrors using buffer layer will be shown in detail.
Fabrication of optical filters using multilayered porous silicon
Noha Gaber, Diaa Khalil, Amr Shaarawi
In this work we describe a method for fabricating optical filters using multilayered porous silicon 1D photonic structure. An electrochemical cell is constructed to control the porosity of variable layers in p-type Si wafers. Porous silicon multilayered structures are formed of λ/4 (or multiples) thin films that construct optical interference filters. By changing the anodizing current density of the cell during fabrication, different porosities can be obtained as the optical refractive index is a direct function of the layer porosity. To determine the morphology, the wavelength dependent refractive index n and absorption coefficient α, first, porous silicon free standing mono-layers have been fabricated at different conditions and characterized in the near infrared region (from 1000 to 2500nm). Large difference in refractive index (between 1.6 and 2.6) is obtained. Subsequently, multilayer structures have been fabricated and tested. Their spectral response has been measured and it shows good agreement with numerical simulations. A technique based on inserting etching breaks is adopted to ensure the depth homogeneity. The effect of differing etching/break times on the reproducibility of the filters is studied.
Active Optical Device Fabrication
icon_mobile_dropdown
Microscale, printed LEDs for unusual lighting and display systems
Rak-Hwan Kim, Dae-Hyeong Kim, Jianliang Xiao, et al.
Current technology development in light emitting diodes has enabled high efficiency operation, low energy consumption and lifetimes, thereby creating new possibilities in conventional display and lighting industry. Recent work is also creating more unusual uses in biomedicine and in sensing applications, where conformal contact over curvilinear surfaces is required. Here, ultrathin device geometries and optimized mechanical designs, including neutral mechanical plane layouts and serpentine interconnects, provide indicators and lighting modules with arbitrary shapes capable of integrated on nearly any type of substrates. Biomedical devices such as light emitting suture threads, and glove-mounted optical proximity sensors demonstrate the versatility of this strategy and create great new opportunities.
CMOS-compatible fabrication, micromachining, and bonding strategies for silicon photonics
The adoption of optical technologies by high-volume consumer markets is severely limited by the cost and complexity of manufacturing complete optical transceiver systems. This is in large part because "boutique" semiconductor fabrication processes are required for III-V lasers, modulators, and photodetectors; furthermore, precision bonding and painstaking assembly are needed to integrate or assemble such dissimilar devices and materials together. On the other hand, 200mm and 300mm silicon process technology has been bringing ever-increasing computing power to the masses by relentless cost reduction for several decades. Intel's silicon photonics program aims to marry this CMOS infrastructure and recent developments in MEMS manufacturing with the burgeoning field of microphotonics to make low cost, high-speed optical links ubiquitous. In this paper, we will provide an overview of several aspects of silicon photonics technology development in a CMOS fabrication line. First, we will describe fabrication strategies from the MEMS industry for micromachining silicon to create passive optical devices such as mirrors, waveguides, and facets, as well as alignment features. Second, we will discuss some of the challenges of fabricating hybrid III-V lasers on silicon, including such aspects as hybrid integration of InP-based materials with silicon using various bonding methods, etching of InP films, and contact formation using CMOS-compatible metals.
Single quantum dot (QD) manipulation on nanowire using dielectrophoretic (DEP) force
J. Kim, S. Y. Lee, J.-K. F. Suh, et al.
Au nanowires of 100 nm, 200nm and 400 nm widths with micro scale Au electrode were fabricated as electrodes to apply high electric field gradient for strong DEP force within the nanometer range. Au nanowires were fabricated on a silicon dioxide (SiO2) using lift-off process after e-beam lithography and e-beam evaporation. E-beam resister (ER) was patterned and a 50 nm thick Au layer. Photo resister (PR) was patterned to make Au microelectrode and did lift-off process. The Au nanowires with microelectrode were covered with SiO2 layer deposited with PECVD resulting in 1 um thick. Opened end of gold nanowires, the target surface for QD immobilization, were formed using etching processes. Single QD immobilization on the nanowire end-facet was accomplished through positive DEP force. Sine wave of 8 Vpp intensity and 3 MHz frequency was applied and it induced electric field of 108 V/m intensity and electric field gradient around Au nanowire to make strong positive DEP. Optical analysis confirmed the attachment of single QD on the nanowire. A single 25 nm diameter QD was manipulated on 100 nm, 200 nm and 400 nm width nanowires when 8 Vpp, 3 MHz sine wave was applied.
DWDM DFB LD fabricated by nanoimprint process
Wen Liu, Lei Wang, Ning Zhou, et al.
DFB LDs are key components in DWDM optical network. Now they are very expensive because the feedback grating period has to be controlled with very high accuracy and EBL is currently the most popular solution. We propose a high throughput, low cost NIL process based on a large stamp fabricated by SFIL and soft stamp pattern transfer method. DFB chips on 30mm*30mm area were manufactured with both good uniformity and performance. 13 ITU channels from 1540nm to 1560nm of 200GHz space are made. Our results show NIL has high potential to become another popular technology for DFB LD production, this cost effective and high efficiency manufacture solution may yield a significant impact to the future optical communication industry development.
Nanofabrication III: Passive Optical Devices
icon_mobile_dropdown
Fabrication of guided mode resonance filters on conformal surfaces
The guided mode resonance (GMR) phenomena has been studied and exploited for applications in sensors, polarizers, dichroic laser mirrors, and more. These micro-optical structures serve as highly functional devices capable of narrowband spectral filtering. Their relatively simple design includes a subwavelength periodically modulated index layer and a waveguiding layer. This configuration yields efficient and easily tunable devices over a broad range of wavelengths. Currently GMR filters have been fabricated exclusively on flat surfaces. In this paper, we demonstrate fabrication of GMR filters on conformal surfaces using non-traditional techniques. Flexible molds are used as replication templates in a micro-transfer molding process. SU-8 negative photoresist serves as the molded polymer with good thermal characteristics compatible with subsequent deposition of dielectric layers. Fabrication procedures for GMR filters at near-IR wavelengths on concave lens surfaces are discussed, and initial experimental results are presented.
Design and fabrication of a highly off-axis binary multi-phase-level computer-generated hologram based on an effective medium approach
Computer-generated holograms (CGHs) are important for many tasks in modern optics. High efficiencies rely on an increasing number of phase steps which usually complicates the fabrication process. In this paper, we demonstrate an effective medium approach which uses binary subwavelength structures instead of a conventional surface relief profile to generate a specific phase pattern. Consequently, the fabrication process is simplified to one exposure and one etching step independent from the number of phase levels. This offers new perspectives in designing large-scale highly efficient diffractive elements with large deviation angles. For demonstration, a highly off-axis 3-level CGH for a projection screen application is designed, fabricated and experimentally evaluated.
Fabrication of multispectral imaging technology driven MEMS-based micro-arrayed multichannel optical filter mosaic
Dingrong Yi, Linghua Kong, Jiwu Wang, et al.
Multispectral imaging is becoming a new powerful tool in a wide range of biological studies by adding spectral, spatial and temporal dimensions to tissue abnormity and the underlying biological processes. A standard spectral imaging setup includes two major components, a band pass selection device (such as liquid crystal tunable filter and diffraction grating) and a scientific-grade monochrome camera. Contemporary multispectral imaging technologies typically use traditional optical filters e.g., filter wheels, a generalized Lyot filter, an electrically tunable filter, multiple-band pass filters or the methods of dispersing light, e.g., optic-acoustic crystals. The instrumented systems are bulky, expensive, require multiple exposures or extensive post-processing to align up multiple images of pure spectral components. Recently a break-through technology has emerged to instrument multispectral imaging technology into handheld real-time devices using miniaturized filter mosaic containing micro-arrayed multiple channel band-pass optical filters. The filter mosaic can be directly placed near the focal plane immediately in front of the imaging sensor of an off-shelf CCD/CMOS camera, with potentially one such micro-filter covers one pixel of the imaging sensor. This paper reveals the technical details of how such a micro-arrayed multi channel optical filter is fabricated using traditional multi-film vacuum deposition and the modern micro-lithography technologies. The selection of different coating materials, their structures and effects to the adhesive forces between film and substrate, the spatial resolution, width of passing band, and the transmittance of the resulting miniaturized optical filter is discussed.
Poster Session
icon_mobile_dropdown
Ultrashort pulse induced nonlinear photo-polymerization and phase separation in liquid crystal and monomer mixtures
We report the experimental manipulation of liquid crystal spatial distribution in micro-scale optical waveguide via ultrashort pulse lithography. The frequency-doubled Ti:sapphire laser pulse focuses on the liquid crystal/monomer mixtures at high scanning speed, and well-defined liquid crystal/polymer triple-layer and periodic micro-waveguide is observed through phase separation and self organization mechanism.
Fabrication of hybrid optical structure by direct machining
T. Saastamoinen, J. Väyrynen, J. Mutanen, et al.
A Moore 350FG 5-axis ultra precision machine tool platform with diamond tooling was used to generate v-shaped diffractive pattern on a refractive sinusoidal shaped structure. The refractive surface behaves like a cylindrical lens and the diffraction grating stretches the line focuses generated by the refractive surface. The structure was machined on an electroless nickel insert. The design and the simulation of the hybrid structure were performed using ray tracing and exact electromagnetic theory. The response of the grating was calculated by using Fourier modal method and the data was used in ZEMAX to calculate the amplitude and the phase of the diffracted rays.
Laser processing and monitoring of Ag photodoped patterns in GeS2 amorphous films by dual functional laser scanning micro-processing/micro-scope system utilizing UV/VIS confocal laser scanning microscope
Yoshikazu Kanai, Yoshihisa Murakami, Moriaki Wakaki, et al.
The photodoping phenomenon of Ag is one of the light induced phenomena in GeS2 amorphous chalcogenide films. Photonic structures like wave guides and micro-optics may be possible to fabricate utilizing the refractive modulation caused by the doping process of Ag, but the practical fabrication method has not been established yet. The method to monitor the doping also has to be paid attention for precise processing. In this report, a dual functional laser scanning system integrating micro-machining and micro-scope systems is proposed. An UV laser, effective for photodoping phenomenon, is used to process the doping patterns, and a VIS laser, not influential upon the material, is used to monitor the fabricated patterns. In-situ nano scale manipulation and observation processes were possible under same setup in one system. As a typical photonic pattern, diffraction gratings of Ag/GeS2 were fabricated and optical performances were evaluated. An optical model of the light propagation in the doped layers is proposed and simulated. The mechanism for the enhanced broadening of the doped region was suggested well through the simulation. This system will open new device fabrication and help to advance the research of nanostructures and photonic crystals.
Hydrogen silsesquioxane (HSQ): a perfect negative tone resist for developing nanostructure patterns on a silicon platform
G. Singh, P. Stenberg, P. Vahima, et al.
This paper reviews the development of hydrogen silsesquioxane nanostructures (sub-100nm) on a silicon platform. The effect of HSQ resist in thick (128nm thick resist) and thinner state (30nm thick resist) has been demonstrated and minimum possible structures with these are discussed in details. Most applicable structures like straight lines/spaces, sharp joints/corners and dots were developed to investigate the effects of development time on the lithography properties of HSQ. Soft bake after spinning process had been avoided in view of achieving better contrast and stable resist deposition. We had also reached to a conclusion that increasing the development time could improve resist contrast and pattern resolutions up to certain limits but may vary with type of structures and other conditions.
In-depth fiber optic two-photon polymerization and its applications in micromanipulation
Two photon polymerization (TPP) has enabled three-dimensional microfabrication with sub-diffraction limited spatial resolution. However, depth at which TPP could be achieved, has been limited due to the high numerical aperture microscope objective, used to focus the ultrafast laser beam. Here, we report fiber-optic two photon polymerization (FTP) for in-depth fabrication of microstructures from a photopolymerizable resin. A cleaved single mode optical fiber coupled with tunable femtosecond laser could achieve TPP, forming extended waveguide on the fiber itself. The length of the FTP tip was found to depend on the laser power and exposure duration. Microfabricated fiber tip using FTP was employed to deliver continuous wave laser beam on to polystyrene microspheres in order to transport and manipulate selected particles by scattering force and 2D trapping. Such microstructures formed by TPP on tip of the fiber will also enable puncture and micro-surgery of cellular structures. With use of a cleaved fiber or axicon tip, FTP structures were fabricated on curved surfaces at large depth. The required Power for FTP and the polymerization rate was faster while using an axicon tip optical fiber. This enabled fabrication of complex octopus-like microstructures.
Bimetallic grayscale photomasks written using optical density feedback control
When bimetallic thin films of Bi/In and Sn/In are laser exposed, they oxidize and become variably transparent. By controlling the writing laser power, binary and grayscale photomasks can be produced with the mask's transparency (optical density, OD), ranging between ~3.0 (unexposed) to <0.22 OD (fully exposed). Targeting the production of grayscale masks with 256 levels, the mask-writing system when combined with photodiode sensors obtains real-time OD and laser power measurements and uses them to adjust the laser's writing power during the patterning process. For a single-line stepped pattern, laser writing without OD feedback control demonstrates an average absolute error of 4.2 gray levels, while with OD feedback control and the appropriate parameters, the same pattern is produced with an average absolute error of 0.3 gray levels. The control parameters are shown to influence the characteristics of the resulting mask pattern, particularly the overshoot and rise-time of the pixel transitions. With multi-line mask patterns being rasterscanned written, the overlap of the lines combined with the laser's Gaussian profile creates variations in the mask, and measurement problems for the OD feedback control. An interlaced raster-scan approach is proposed where a first pass patterns non-overlapping lines using an ideal set of control parameters. A second and third pass then patterns the lines inbetween and at the pixel boundaries using another set parameters designed to account for the overlap. The technique allows feedback to be used for the entire mask writing process.
Microlithography application for production of multilevel diffractive optical elements (as a security hologram feature)
Eugene Braginets, V. Kurashov, S. Honcharuk, et al.
The goal of a present research is to develop a method for production of multilevel Diffractive Optical Elements (DOEs) for use in Digital Security Holograms, using the direct-writing maskless lithography system.