Proceedings Volume 7639

Advances in Resist Materials and Processing Technology XXVII

cover
Proceedings Volume 7639

Advances in Resist Materials and Processing Technology XXVII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 3 March 2010
Contents: 18 Sessions, 104 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2010
Volume Number: 7639

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 7639
  • Invited Session
  • Double Patterning and Double Exposure I
  • EUVL: Joint Session with Conference 7636
  • Novel Resist Materials and Processes I
  • Novel Resist Materials and Processes II
  • Next-Generation Resist Architectures
  • Novel Materials, Processes, and Applications
  • EUV Resist Materials and Processes
  • Double Patterning and Double Exposure
  • Immersion Materials and Processing
  • ARCs and Multilayer Processes
  • Molecular Resists
  • Simulation of Resist Processes
  • Resist Fundamentals
  • Double Patterning and Double Exposure II
  • Simulation of Lithographic Phenomena
  • Resist Materials Digest
Front Matter: Volume 7639
icon_mobile_dropdown
Front Matter: Volume 7639
This PDF file contains the front matter associated with SPIE Proceedings volume 7639, including the Title Page, Copyright information, Table of Contents, Introduction, and the Conference Committee listing.
Invited Session
icon_mobile_dropdown
The evolution of patterning process models in computational lithography
Thirty five years have passed since the first lithography process models were presented, and since that time there has been remarkable progress in the predictive power, performance, and applicability of these models in addressing many different challenges within the semiconductor industry. The impact has been profound, and this paper will attempt to highlight some of the key contributions which have been made, particularly as patterning simulation has moved beyond the realm of process development to full chip production enablement. In addition, this paper will outline the new process simulation challenges which emerge as the industry approaches sub-0.25 k1 patterning. These challenges lie principally in driving towards ever improved accuracy for an expanding set of processes and failure modes, while maintaining or improving full chip data preparation cycle times.
Double Patterning and Double Exposure I
icon_mobile_dropdown
Resist material for negative tone development process
Shinji Tarutani, Sou Kamimura, Yuuichiro Enomoto, et al.
Methods for improving lithography performance with optimization of resist materials and formulation for negative tone development (NTD) process are discussed. Narrow pitch lithography performance comparison with NTD and PTD process for initial platform for NTD revealed the not enough maturity of the resist for NTD. Dissolution rate study suggested the optimizations of molecular weight and solvent parameter to NTD process are important to improve dissolution property. Larger dissolution rate and larger γ value in contrast curve gave larger process window at 40 nm half pitch dense contact hole pattern with double exposure and single development step.
Non-reciprocal double-exposure materials for 193nm pitch division
Robert Bristol, Jeanette Roberts, David Shykind, et al.
We present an overview of lithography results achieved for materials to support "leave-on-chuck" double-exposure pitch-division patterning. These materials attempt to make use of a non-reciprocal photoresponse in which the same number of absorbed 193nm photons can produce different remaining levels of resist, depending upon whether the photons are received all at once or in two separate exposures. This, in principle, allows for the use of two exposures, using independent masks and without removing the wafer from the chuck, to produce non-regular patterning down to one half the pitch limit of the scanner. Such behavior could be produced, for example, by a reversible two-stage Photoacid Generator (PAG) or other non-reciprocal mechanisms. Several stages of lithography screening were done on a large number of candidate systems. Initially, thermal stability, casting behavior, and single-exposure (SE) contrast curves were investigated to determine whether the system behaved as a usable photoresist. The next stage of testing probed non-reciprocal response, in the form of double-exposure (DE) contrast curves, typically with an intervening whole-wafer flood exposure at a longer wavelength to enact the nonreciprocity. The key criterion for the material to pass this stage was to show a shifted contrast curve (difference in photospeed) for DE vs. SE. Such a shift would then imply that pitch-division imaging would be possible for this material. After identifying materials which exhibited this SE vs. DE contrast curve shift, the next step was actual DE patterning. Since the laboratory tool used for these exposures does not have the precise alignment needed to interleave the two exposures for pitch division, we employed a technique in which the second exposure is rotated slightly with respect to the first exposure. This results in a Moiré-type pattern in which the two aerial images transition between overlap and interleave across the wafer. One particular PAG + sensitizer did indeed show the desired DE vs. SE contrast curve shift and pitch-divided imaging (k1 = 0.125). This system appears to operate on a scheme based on the creation of a photobase generator between the first and second exposures. Unfortunately, the quality of the pitch-divided images degrades quickly as the pitch is decreased, showing severe LER and bridging defects at a final pitch of 220nm. We postulate that this is caused by the diffusion of one or more key photoproducts. Accompanying papers report on both the photochemical details of the reaction pathways of these materials as well as modeling of the reaction kinetics.
Photobase generator assisted pitch division
Xinyu Gu, Christopher M. Bates, Younjin Cho, et al.
The drive to sustain the improvements in productivity that derive from following Moore's law has led the semiconductor industry to explore new technologies that enable production of smaller and smaller features on semiconductor device. Pitch division techniques and double exposure lithography are approaches that print features beyond the fundamental resolution limit of state-of-art lenses by modifying the lithographic process. This paper presents a new technique that enables pitch division in the printing of gratings using only a single exposure that is fully compatible with the current manufacturing tools. This technique employs a classical photoresist polymer together with a photoactive system that incorporates both a photoacid generator (PAG) and a photobase generator (PBG). The PBG is added to the resist formulation in higher molar concentration than the PAG, but has a base production rate that is slower than the acid production rate of the PAG. The PBG functions as a dose-dependent base quencher, which neutralizes the acid in high dose exposure regions but not in the low dose regions. This photoactive system can be exploited in the design of both positive tone and negative tone resist formulations that provide a developed image of a grating that is twice the frequency of the grating on the mask. A simulation of this process was performed for a 52 nm line and space pattern using PROLITH and customized codes. The results showed generation of a 26 nm half pitch relief image after development. Through this new technique, a 45 nm half pitch line and space pattern was experimentally achieved with a mask that produces a 90 nm half pitch aerial image. This corresponds to a k1 factor of 0.13. The principles, the materials design and the first lithographic evaluations of this system are reported.
The important challenge to extend spacer DP process towards 22nm and beyond
Kenichi Oyama, Eiichi Nishimura, Masato Kushibiki, et al.
Double patterning processes are techniques that can be used to form etching mask patterns for 32nm node and possibly for 22nm node as well. The self-aligned spacer process has drawn much attention as an effective means of enabling the formation of repetitive patterns. The self-aligned spacer process is now being used in actual device manufacturing, but it has many process steps driving up process cost while also assuming a 1D pattern. This paper demonstrates extensions of the self-aligned spacer process by an enhanced 2D positive spacer process and a newly developed spacer DP process using a 1D negative spacer.
Carbon-rich spin on sidewall material for self-aligned double-patterning technology
Dennis Shu-Hao Hsu, Hiroaki Yaguchi, Rikimaru Sakamoto, et al.
Double exposure (DE) and double patterning (DP) have emerged as leading candidates to fill the technology gap between water immersion and EUV lithography. Various approaches of them are proposed to achieve 3x-nm half-pitch dense lines and beyond. Both DE with two resist processes and double patterning (DP) require two separate exposures, and they are faced very tight overlay margin by the scanner tool. By contrast, self-aligned double patterning (SADP) requires one exposure only, and provides high feasibility for 3x-nm node at this moment. However, a sequential order of multiple non-lithographic steps (film deposition, etch, and CMP) cause a complicated and expensive process of SADP. Instead of using complicated sacrificial layers, the spacers are directly formed at the sidewall of the resist patterns by low-temperature CVD deposition or spin on sidewall (SoS) material coating. In this paper, lower cost-of-ownership of SoS material are studied for SADP process.
EUVL: Joint Session with Conference 7636
icon_mobile_dropdown
Study on approaches for improvement of EUV-resist sensitivity
Several methods to improve sensitivity of EUV resist, with a couple of key points of acid generation efficiency and deprotection reaction efficiency. Larger loading of PAG to increase the secondary electron absorption possibility, cation unit design to lower the lowest unoccupied molecular orbital of cation, and lowering ionization potential of polymer to enable efficient secondary electron generation, were discussed in the viewpoint of acid generation efficiency. Larger size of anion structure design on PAG was applied to special formulation of small loading of quencher to minimize necessary generated acid concentration to give enough de-protection reaction amount, and to higher PEB temperature resist process to maximize de-protection reaction efficiency.
Polymer photochemistry at the EUV wavelength
Theodore H. Fedynyshyn, Russell B. Goodman, Alberto Cabral, et al.
The higher energy associated with extreme ultraviolet (EUV) radiation coupled with the high absorptivity of most organic polymers at these wavelengths should lead to increased excited state population and higher quantum yields of photoproducts. Polymers representative of those commonly employed in resists as well as some model polymers were selected for this study. Polymer photochemistry at EUV was catalogued as to the effect of absorbed 13.4-nm radiation on a polymer's quantum yield of chain scission (Φs) and crosslinking (Φx). In selected cases, the chain scission and crosslinking quantum yields were also compared to those previously determined at 157-, 193- and 248-nm. It was found that quantum yield values were over a magnitude greater at EUV relative to optical wavelengths.
Analysis of trade-off relationships in resist patterns delineated using SFET of Selete
We investigated the relationship between line edge roughness (LER) and the chemical gradient using the fourth Selete Standard Resist (SSR4). Two-dimensional (half-pitch and exposure dose) matrices of resist line width and LER were analyzed on the basis of the sensitization mechanisms of chemically amplified resists for extreme ultraviolet (EUV) lithography. The latent images of resist patterns were successfully reproduced by assuming that LER is inversely proportional to the chemical gradient. The product of LER and normalized chemical gradient was approximately 0.2 for SSR4.
Novel Resist Materials and Processes I
icon_mobile_dropdown
Advanced patterning solutions based on the shrink process assisted by double exposure (SPADE)
Young C. Bae, Yi Liu, Thomas Cardolaccia, et al.
By combining chemical and thermal curing techniques, we developed a simple "hybrid" curing system which offers precise CD control of the first patterns after double patterning. This hybrid curing system involves thermal curing followed by a liquid rinse process using a double patterning primer (DPP). DPP is an aqueous solution formulated with surface curing agent (SCA) components and enhances "positive" interaction between L1 and L2 patterns. Taking advantage of the CD growth with DPP treatment, we further developed three advanced patterning schemes: 1. "Shrink Process Assisted by Double Exposure" (SPADE I), 2. "Space Patterning Assisted by Double Exposure" (SPADE II), and 3. "Sidewall Patterning Assisted by Double Exposure" (SPADE III). Using SPADE I, contact hole CD was reduced by 10~30nm and excellent through pitch performance was achieved. Using SPADE II, the first example of self-aligned double patterning of contact holes has been demonstrated. After SPADE II, the contact hole pitch was reduced by 30%. A novel method was developed to form sidewalls on the existing patterns using SPADE III. The 2D sidewall patterning with contact holes was demonstrated and ~40nm sidewalls were formed using SPADE III. This can also be applied to form sidewall patterns on line and space patterns to self-aligned double patterning of lines. In this paper, our recent progress with SPADE technology is described and its potential use in the advanced patterning schemes is discussed.
Design, synthesis, and characterization of fluorine-free PAGs for 193-nm lithography
Sen Liu, Martin Glodde, Pushkara Rao Varanasi
Photoacid generators (PAGs) are a key component in chemically amplified resists used in photolithography. Perfluorooctanesulfonates (PFOS) and other perfluoroalkylsulfonates (PFAS) have been well adopted as PAGs in 193 nm photoresist. Recently, concerns have been raised about their environmental impact due to their chemical persistency, bioaccumulation and toxicity. It is a general interest to find environmentally benign PAGs that are free of fluorine atoms. Here we describe the design, synthesis and characterization of a series of novel fluorine-free onium salts as PAGs for 193 nm photoresists. These PAGs demonstrated desirable physical and lithography properties when compared with PFAS-based PAGs for both dry and immersion exposures.
Development of an inorganic photoresist for DUV, EUV, and electron beam imaging
The trend of ever decreasing feature sizes in subsequent lithography generations is paralleled by the need to reduce resist thickness to prevent pattern collapse. Thinner films limit the ability to transfer the pattern to the substrate during etch steps, obviating the need for a hardmask layer and thus increasing processing costs. For the 22 nm node, the critical aspect ratio will be less than 2:1, meaning 40-45 nm thick resists will be commonplace. To address this problem, we have developed new inorganic nanocomposite photoresists with significantly higher etch resistance than the usual polymer-based photoresists. Hafnium oxide nanoparticles are used as a core to build the inorganic nanocomposite into an imageable photoresist. During the sol-gel processing of nanoparticles, a variety of organic ligands can be used to control the surface chemistry of the final product. The different ligands on the surface of the nanoparticles give them unique properties, allowing these films to act as positive or negative tone photoresists for 193 nm or electron beam lithography. The development of such an inorganic resist can provide several advantages to conventional chemically amplified resist (CAR) systems. Beyond the etch resistance of the material, several other advantages exist, including improved depth of focus (DOF) and reduced line edge roughness (LER). This work will show etch data on a material that is ~3 times more etch-resistant than a PHOST standard. The refractive index of the resist at 193 nm is about 2.0, significantly improving the DOF. Imaging data, including cross-sections, will be shown for 60 nm lines/spaces (l/s) for 193 nm and e-beam lithography. Further, images and physical characteristics of the materials will be provided in both positive and negative tones for 193 nm and e-beam lithography.
A silicon-containing resist for immersion lithography
Ratnam Sooriyakumaran, Wu-Song Huang, Sally Swanson, et al.
We have developed a new silicon-containing resist for 193-nm immersion lithography. This resist is compatible with topcoats used in the industry today for immersion lithography. Most of the current topcoats contain 4-methyl-2- pentanol as a solvent. Our evaluations indicated that the previously developed silicon-containing resists are not compatible with the current topcoats because of their solubility in 4-methyl-2-pentanol. In the new resist polymers, we have incorporated high percentage (> 60 mol%) of lactone monomers to prevent them from dissolving in this solvent. In order to increase the lactone content in a silicon polymer, we have incorporated lactone containing acidlabile functionalities in addition to widely used acid-inert lactone monomers. Utilizing these polymers, we have demonstrated a functional silicon-containing photoresist for immersion lithography.
New self-assembly strategies for next-generation lithography
Evan L. Schwartz, Joan K. Bosworth, Marvin Y. Paik, et al.
Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.
Design and development of production-worthy developable BARCs(DBARCs) for implant lithography
James Cameron, John Amara, Jin Wuk Sung, et al.
As device scaling continues according to Moore's Law, an ongoing theme in the semiconductor industry is the need for robust patterning solutions for advanced device manufacture. One particularly attractive solution for implant lithography is the use of a developable BARC (DBARC) to improve reflection control while still affording an "implant ready" substrate following development. Going forward, these two features of DBARC technology are key to successful implant patterning as the industry standard TARC process begins to falter due to poor substrate reflection control leading to profile degradation, shrinking process windows and poor CDU. In this paper, we report our progress in the design and development of production worthy DBARCs for implant lithography. In addition to outlining our general design concepts, we describe our fundamental approach to characterizing DBARCs and share key performance data showing our DBARC technology is surpassing the capability of a traditional TARC process for both KrF and ArF implant applications. Key performance metrics include CD swing, CD control over varying oxide thickness, active to field CD bias and footing over topography.
Novel Resist Materials and Processes II
icon_mobile_dropdown
Fabrication of dual damascene BEOL structures using a multilevel multiple exposure (MLME) scheme, part 1: lithographic patterning
Dario L. Goldfarb, Stefan Harrer, John C. Arnold, et al.
In this work, the conventional via-first dual damascene (DD) patterning scheme is replaced by a cost-efficient Multi-Level Multiple Exposure (MLME) patterning and etching approach. A two-layer positive-tone photoresist stack is sequentially imaged using 193 nm dry lithography, to produce a DD resist structure that is subsequently transferred into an auxiliary dual organic underlayer stack, and then further into a dielectric layer. This novel integration approach eliminates inter-tool wafer exchange sequences as performed in a conventional litho-etch-litho-etch process flow, while simultaneously being applicable to all back-end-of-the-line (BEOL) levels, ensuring throughput increase. The top and bottom resist layers are chemically designed in such a way that they feature differential solubility in organic solvents making it possible to coat the top photoresist onto the bottom resist layer without intermixing to enable a strict litholitho- etch processing sequence. Independent registration of the via and trench structures in the bottom and top resist layers is achieved by selective photospeed decoupling of the respective layers, so that the bottom resist is largely insensitive at nominal resist exposure dose for the top resist. Imaging performance evaluation of the newly introduced MLME technology includes the resist materials selection process and their required properties (solvent compatibility, adhesion, photospeed, defectivity and correction of via dose bias due to trench exposure) as well as metrology work. Image transfer of the patterned DD resist structure into an underlying transfer layer stack and then further into a dielectric layer using Reactive Ion Etching (RIE) followed by electroplating, polishing and electrical testing was also thoroughly investigated and is described in detail in an accompanying paper.
Multilevel integration of patternable low-κ material into advanced Cu BEOL
Qinghuang Lin, S. T. Chen, A. Nelson, et al.
In this paper, we wish to report, for the first time, on a simple, low-cost, novel way to form dual-damascene copper (Cu) on-chip interconnect or Back-End-Of-the-Line (BEOL) structures using a patternable low dielectric constant (low-κ) dielectric material concept. A patternable low-κ dielectric material combines the functions of a traditional resist and a dielectric material into one single material. It acts as a traditional resist during patterning and is subsequently converted to a low-κ dielectric material during a post-patterning curing process. No sacrificial materials (separate resists or hardmasks) and their related deposition, pattern transfer (etch) and removal (strip) are required to form dual-damascene BEOL patterns. We have successfully demonstrated multi-level dual-damascene integration of a novel patternable low-κ dielectric material into advanced Cu BEOL. This κ=2.7 patternable low-κ material is based on the industry standard SiCOH-based (silsesquioxane polymer) material platform and is compatible with 248 nm optical lithography. Multilevel integration of this patternable low-κ material at 45 nm node Cu BEOL fatwire levels has been demonstrated with very high electrical yields using the current manufacturing infrastructure.
Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications
Joyce Lowes, Victor Pham, Jim Meador, et al.
As the semiconductor industry approaches smaller and smaller features, applications that previously used top antireflective coatings have now begun using developer-soluble bottom anti-reflective coatings (BARCs). However, there are several drawbacks to a wholly developer-soluble system, mainly because many of these systems exhibit isotropic development, which makes through-pitch and topography performance unsatisfactory. To solve this problem, we have developed several photosensitive BARC (PS BARC) systems that achieve anisotropic development. One issue with the PS BARC, as with traditional dry BARCs, is resist compatibility. This effect is compounded with the photosensitive nature of our materials. The acid diffusion and quenching nature of the resists has been shown to have a significant effect on the performance of the acid-sensitive PS BARC. Some resists contain a highly diffusive acid that travels to the PS BARC during the post-exposure bake and aids in clearance. Others show the opposite effect, and the same PS BARC formulation is not able to clear completely. To address the lack of compatibility and to further improve the PS BARC, we have developed a solution that properly matches PS BARC and photoresist performance.
Sub-millisecond post exposure bake of chemically amplified resists by CO2 laser heat treatment
Byungki Jung, Jing Sha, Florencia Paredes, et al.
Chemically amplified photoresists require a post exposure bake (PEB), typically on a hot plate at 90-150°C for 30-120 seconds, to catalytically deprotect the polymer backbone. During PEB, excessive diffusion of the photo-generated acid results in loss of line edge definition, blurring of latent images and changes in the line edge roughness. Both acid diffusion and deprotection are thermally activated processes, with the relative rates affected by the time/temperature profile of the PEB. In this work, we introduce an alternate PEB method involving 500 μs time scale heating over a temperature range of 130°C to 450°C using a continuous wave CO2 laser. A methodology is developed for characterizing this laser PEB and comparing the behavior with conventional hot plate PEB. The thermal stability of several polymer and photoacid generator (PAG) resist systems were studied and shown to be stable at these high temperatures due to the short heating duration. Sensitivity of resists under hot plate and laser PEB were measured. Under moderate temperatures, the laser PEB sensitivity can exceed that of hot plate PEB by an order of magnitude. Quantitative determination of the acid diffusion was obtained using resist bilayers (PAG loaded / PAG free). Despite the five orders of magnitude difference in PEB time, systems with l-PEB and hot-plate PEB exhibit comparable imaging quality under deep ultraviolet exposure.
Next-Generation Resist Architectures
icon_mobile_dropdown
EUV RLS performance tradeoffs for a polymer bound PAG resist
This paper describes construction of a chemically amplified resist model across 248nm, 193nm and EUV imaging wavelengths. Using resist absorbance input as obtained from experiment and modeling, only the acid formation kinetics are allowed to vary across imaging wavelengths. This very constraining system affords very good fitting results, which provides high confidence that the extracted parameters from the model have actual physical significance. The quantum efficiency for acid formation in EUV is found to be ~8X higher than at 248 or 193nm, due to the excitation mechanism by secondary electrons. Most notably for the polymer bound PAG system under study the model provides an extremely low acid diffusion length (~7nm), suggesting an excellent inherent resolution for this material. Next, resist models are created for a series of sensitizer containing polymer bound PAG formulations, where the sensitizer loading is systematically varied. Compared to the reference polymer bound PAG resist without sensitizer the efficiency of acid formation is significantly increased, without a negative impact on either resolution or line width roughness. For the materials the quantum efficiency of acid formation in EUV is found to be ~12X higher than at 248nm. In these formulations the impact of sensitizer loading on the sizing dose is found to be rather moderate. This may suggest that even at the lowest sensitizer loading studied the energy of the secondary electrons is already efficiently transferred to the PAGs.
Aqueous and solvent developed negative-tone molecular resists
A series of negative tone molecular resists was investigated for use in both organic solvent and aqueous base development. Molecular resists designed purely for solvent development showed half-pitch resolution down to 25 nm with sensitivities of 50 μC/cm2 and LER (3σ) down to 2.3 nm. Aqueous developable designs that used epoxide functionalized molecules that are intrinsically water soluble showed improved contrast and comparable sensitivity, but suffered from significant dewetting during baking due to their low molecular weight and high polarity. This inability to form high quality films prevented their use as high resolution resists. Aqueous developable designs that used molecules with both cross-linking and base solubilizing groups were also investigated; the initial example of this design is DPA- 2Ep, a molecular resist containing two epoxides and one carboxylic acid per molecule. It formed high quality films and showed improved contrast compared to the purely solvent developed designs. Even after complete cross-linking of the epoxide groups, several free carboxylic acids still remained in the network. These free acids tend to imbibe developer and appear to retain the tetramethylammonium carboxylates even after rinsing and drying the film. This imbibing of developer leads to significant failure during high resolution patterning due to swelling.
Comparison of star and linear ArF resists
Drew C. Forman, Florian Wieberger, Andre Gröschel, et al.
Linear and star-shaped ArF photoresists were prepared and preliminary lithographic comparison was performed using electron-beam exposure. An oligo-initiator based on saccharose forms the core of the star shaped photoresist from which three standard ArF photoresist monomers, α-gamma butyrolactone methacrylate (GBLMA), methyl adamantyl methacrylate (MAMA) and hydroxyl adamantyl methacrylate (HAMA) were polymerized. Conditions were adjusted to obtain a low polydispersity, 6 kg/mol star polymer with a degree of polymerization of approximately five mers per arm. For comparison, a linear photoresist control was prepared using the same scheme. The star resist architecture was found to improve roughness without reducing sensitivity or resolution.
Characteristics of main chain decomposable star shaped polymer on EUV lithography
Taku Hirayama, Jun Iwashita, Sachiko Yoshizawa, et al.
We prepared three different kinds of polymers. The first was the STAR polymers having various length of the arms connected to the single core which could be decomposed by the acid. The second was the Linear polymers based on p-hydroxystylene (HS) which was also used for the arms of the STAR polymer. The other was the non-decomposable STAR polymer which had a quite similar shape to the STAR polymer and of which core structure could not be decomposed. Using those materials, lithographic performance obtained using a Micro Exposure Tool (MET) was compared with thermal property, and it was found that STAR-9mer-H having the 9 HS unit arms provided best overall performance, 24 nm of ultimate resolution, 4.3 nm of line width roughness and 4.6x10-8 mJ•nm3 of Z factor on MET evaluation. In addition to this, the specific resist based on the STAR polymer could achieve 26 nm resolution with quite wider process window capability that the control resist consisting of partially protected poly(p-hydroxystyrene) on the Alpha Demo Tool evaluation with conventional illumination.
Development of EUV resists based on various new materials
This paper summarizes the development of EUV resists based on various new materials: the lithographic evaluation results of EUV resists from resist material manufacturers using the small field exposure tool (SFET). We discuss the screening results of new resin materials based on calix[4]resorcinarene, "Noria" and fullerene.
High resolution positive-working molecular resist attached with alicyclic acid-leaving group
Arisa Yamada, Shigeki Hattori, Satoshi Saito, et al.
Molecular resists are expected to offer the advantages of high resolution and low line width roughness (LWR) for the next-generation lithography. We developed a new molecular resist that showed high resolution by introducing an efficient acid-leaving group to an amorphous molecule, 1,3,5-Tris(p-(p-hydroxy- phenyl) phenyl) benzene (THTPPB). The lithographic properties such as sensitivity, developing rate, and adhesion are considered to be controlled using a suitable acid-leaving group. A molecular resist of THTPPB to which is attached with an alicyclic acid-leaving group, hyperlactyl vinyl ether group (HPVE) showed a high resolution for electron beam (EB) lithography and good etch resistance. Half-pitch 36 nm line-and-space (1:1) positive pattern was fabricated using 100 keV EB with chemically amplified molecular resist based on HPVETPPB.
Novel Materials, Processes, and Applications
icon_mobile_dropdown
Negative-tone chemically amplified molecular resist based on novel fullerene derivative for nanolithography
We developed negative-tone chemically amplified molecular resists based on a fullerene derivative and evaluated the lithographic performance using 75 keV electron beam (EB) exposure tool to explore the potential of fullerene derivatives as a negative-type EB resist with high resolution and high etching durability. The etching rate of fullerene derivatives is lower than that of conventional resist materials such as PHS, ZEP530 and UVIII. Although a dose of 800 μC/cm2 is required, 60 nm line resolution and aspect ratio five was obtained in best of four kinds of fullerene derivative films. Also, the effect of acid generators to a fullerene derivative resists were investigated. Fullerene derivative resists are a promising candidate for nanolithography because it is essential for next generation lithography to have high aspect ratio related collapse of high resolution pattern and high etching durability in ultra-thin films.
Non-chemically amplified resists for 193-nm immersion lithography: influence of absorbance on performance
Lan Chen, Yong-Keng Goh, Kirsten Lawrie, et al.
The feasibility of three polymer systems for use as non chemically amplified resists for 193 nm lithography are discussed. The three systems are polycarbonates, polyphthalaldehydes and polysulfones. In general it was found that increased absorbance resulted in higher sensitivity to 193 nm light. However, the exception to this was the polycarbonates, which were found to undergo crosslinking due to an alkene group present in the polymer backbone. Although polyphthalaldehydes were very sensitive, their absorbance values were too high to be useful in a commercial environment. Absorbing polysulfones were found to be sensitive to 193 nm light and initial patterning results have been presented.
Photobase generator and photo decomposable quencher for high-resolution photoresist applications
C. W. Wang, C. Y. Chang, Y. Ku
As the critical pitch continues to shrink for advanced technology nodes and the EUV tool is not yet mature, the demand for ArF high-contrast resist becomes stronger than ever. In this paper, we discuss the impact of photosensitive quenchers to lithographic performance. Two types of photosensitive quencher, photo-base generator (PBG) and photo decomposable quencher (PDQ), are studied for its ability to extend the life of immersion ArF lithography. With conventional photoresists using normal non-photosensitive quenchers, the aerial image was substantially linearly transferred to the acid image of the photoresist stimulated by photo acid generator (PAG). The new PBG or PDQ serves as one additional photosensitive component. Such photosensitive quencher changes its base level after exposure. Thus, it modifies the aerial image for better imaging performance. We will present and discuss the imaging results from various formulations of photosensitive quencher and variation in its concentration. The defect performance of these new approaches will also be characterized.
The optimizations of resist shrink process using track-based technology
Yoshihiro Kondo, Atsushi Ookouchi, Toyohisa Tsuruda, et al.
The development of double patterning processes/schemes are widely in progress for 2x nm node and beyond by using 193nm immersion lithography. It is realized that a resist shrink step is necessary in many double patterning process cases due to the resolution limit of the 193nm immersion exposure tool. As the development work progresses into the mass-product transition phase, the requirement for technical performances has become more difficult to be achieved by existing resist shrink technologies. In order to overcome these difficulties, we have developed "wet slimming" process based on our coater/developer technologies including the platform. The process is optimized for CD uniformity and defectivity. The process also has good robustness to the various possible resist materials and/or exposure conditions used by industry. In this paper, we introduce the scheme of wet slimming process together with basic performance data such as CD controllability, CD uniformity, defectivity and I-D bias. The evaluation data on actual double patterning processed wafers is reported as well.
Dependence of 20-nm C/H CD windows on critical process parameters
Wei-Su Chen, Pei-Yi Gu, Ming-Jinn Tsai
20 nm contact hole (C/H) patterning is applicable for sub-22 nm technology node applications. Dependence of C/H CD window on critical process parameters is important for process stability and repeatability. Post applied baking (PAB) condition, resist thickness, develop time, and dry etch rate are considered to be the most important process parameters for e-beam chain scission resist ZEP520A C/H patterning. In this paper, PAB temperatures (TPAB) are investigated at temperatures between lower than glass transition temperature (TG) and much higher than TF. Effects of these process parameters on 20 nm +/-10% C/H CD window for various pattern densities and e-beam doses are studied. The critical process parameters are determined by their effects on CD window size, C/H sidewall profile, proximity effect immunity, ΔCD/ΔDose slope, and etch selectivity. Experimental results are summarized below. Thinnest ZEP520A film has the largest 20nm +/-10% CD window on D-D plot for various L/S ratios and doses. The dosage window of smaller C/H CD is larger. The proximity effect is negligible for 50 nm ZEP520A baked at 200°C/300 sec. No apparent effect is found in CD window on D-D plot for develop time as short as 30 sec. PAB condition is most critical than the other process parameters in determining resist density and polymerization which affect e-beam scattering and chain scission in resist film and therefore affects CD resolution and window. PAB condition of 140°C/60 sec is most desirable in terms of CD window on D-D plot, C/H sidewall profile, dry etch rate and proximity effect.
Orthogonal lithography for organic electronics
Priscilla G. Taylor, Jin-Kyun Lee, Alexander A. Zakhidov, et al.
Organic electronics has recently gained attention as a new field promising cheaper, flexible, and large-scale devices. Although photolithography has proven to be a high-resolution and high-throughput patterning method with excellent registration capabilities, the emerging field of organic electronics has been largely unsuccessful in adapting this well-established method as a viable approach to patterning. Chemical compatibility issues between organic materials and the processing solvents and chemicals required by photolithography have been the main problem. This challenge has led us to identify a set of non-damaging processing solvents and to develop alternative imaging materials in order to extend photolithographic patterning methods to organic electronics. We have identified supercritical carbon dioxide and hydrofluoroether (HFE) solvents as chemically benign to organic electronic materials and which are also suitable as processing solvents. We refer to these solvents as orthogonal in that they do not substantially interact with traditional aqueous and organic solvents. Multi-layered devices are easily realized by exploiting this orthogonality property; subsequent layers are deposited and patterned without damaging or otherwise adversely affecting previously deposited underlying layers. We have designed and synthesized novel photoresists, which are processible in these benign solvents.
Grayscale lithography process study applied to zero-gap microlenses for sub-2μm CMOS image sensors
Microlens arrays are used on CMOS image sensors to focus incident light onto the appropriate photodiode and thus improve the device quantum efficiency. As the pixel size shrinks, the fill factor of the sensor (i.e. ratio of the photosensitive area to the total pixel area) decreases and one way to compensate this loss of sensibility is to improve the microlens photon collection efficiency. This can be achieved by developing zero-gap microlens processes. One elegant solution to pattern zero-gap microlenses is to use a grayscale reticle with varying optical densities which locally modulate the UV light intensity, allowing the creation of continuous relief structure in the resist layer after development. Contrary to conventional lithography for which high resist contrast is appreciated to achieve straight resist pattern profiles, grayscale lithography requires smooth resist contrast curve. In this study we demonstrate the efficiency of grayscale lithography to generate sub-2μm diameter microlens with a positive-tone photoresist. We also show that this technique is resist and process (film thickness, development normality and exposure conditions) dependent. Under the best conditions, spherical zero-gap microlenses as well as aspherical and off-axis microlenses, which are impossible to obtain with the conventional reflow method, were obtained with satisfying process latitude.
Improved thermal flow characteristic resist optimized for the manufacturing of microlenses
Medhat A. Toukhy, Margareta Puanescu, Stephen Meyer
A newly developed chemically amplified (CA) i-line positive resist is designed to reflow the resist structures at relatively low temperatures while eliminating thermal crosslinking mechanisms. The resist can reflow to form clear spherical lenses having greater than 90° contact angles. This is demonstrated by thermally reflowing squared resist posts, 50μm and 80μm in size printed in 48μm resist thickness and 10μm posts in 15μm thickness at 120°C. The resulting lenses have smooth surfaces, free of any wrinkling or residual resist corners.
Environmentally friendly processing of photoresists in scCO[sub]2[/sub] and decamethyltetrasiloxane
Christine Y. Ouyang, Jin-Kyun Lee, Jing Sha, et al.
The chemical waste generated in today's microelectronic fabrication processes has driven the need to develop a more environmentally benign process. Supercritical CO2 (scCO2) has been evaluated as an environmentally friendly solvent for photoresist development. It is nontoxic, nonflammable, and inert under most conditions. It also possesses advantages such as liquid-like densities, gas-like diffusivity, and zero surface tension. Although scCO2 is a poor solvent for most polymers, certain fluorine-and silicon-containing polymers have shown solubility in scCO2. Previously, negative-tone patterns of 100nm have also been developed in scCO2 using conventional photoresists such as ESCAP and PBOCST with the aid of fluorinated quaternary ammonium salts (QAS). However, the incorporation of fluorine degrades plasma etch resistance, and because of their persistence in nature, fluorinated compounds are coming under increased scrutiny. In order to make the process more environmentally benign, the elimination of fluorine is desirable. Some molecular glass photoresists without the incorporation of fluorine and silicon have thus been designed and synthesized to be processed in scCO2. In addition to scCO2, another environmentally friendly, low VOC solvent, decamethyltetrasiloxane has also been investigated to develop conventional photoresists. In this paper, we demonstrate the patterning of photoresists in both scCO2 and decamethyltetrasiloxane.
Gap-fill type HSQ/ZEP520A bilayer resist process-(IV): HSQ-rod and HSQ-tip hardening processes
Wei-Su Chen, Ming-Jinn Tsai
HSQ island formed by directly e-beam exposure (DE) and wet development is used as a dry etching mask material. However, the HSQ islands with high aspect ratio are susceptible to collapse during wet development process due to surface tension. To improve this, HSQ-rod and HSQ-Tip structures were achieved by dry stripping of ZEP520A after thermal reflow of ultra-thin HSQ (hydrogen silsesquioxane) gap-filled (GF) ZEP520A contact holes (C/H) in previous study. Aspect ratio of HSQ island formed by latter process is higher than that by the former since the latter is without wet develop procedure which tends to washout the HSQ island. In this paper, gap-fill processes followed by a hardening process to prevent bending of HSQ island are studied to form sub-50 nm HSQ islands (rod or tip) with high aspect ratio. Diluted HSQ is used to gap-fill the exposed ZEP520A C/H or C/H after thermal reflow. The hardening processes include high temperature baking and e-beam curing with high beam current. Experimental results are summarized below. Aspect ratio of GF type HSQ-rod larger than 7 is obtained. Bending of GF type HSQ island (rod or tip) with high aspect ratio is also observed. HSQ-rod hardened by high temperature baking tends to fracture. E-beam curing proves to be efficient for HSQ island (rod or tip) hardening and the required curing doses are dependent on HSQ-rod CD. Smallest HSQ-Tip CD hardened by e-beam curing is ~12.5nm. It is found that e-beam curing of GF type HSQ island and e-beam exposure of DE type HSQ island has the same effect and mechanism in cross-linking of HSQ molecules to increase mechanical strength.
LWR reduction by novel lithographic and etch techniques
The reduction of line width roughness (LWR) is a critical issue in developing resist materials for EUV lithography and LWR represents a trade-off between sensitivity and resolution. Additional post pattern processing is expected as an LWR reduction technique without impact to resolution or sensitivity. This paper reports the LWR reducing effect of a post-development resist-smoothing process. Approximately 20% improvement in LWR for ArF immersion exposed resist patterns was achieved for two types of resist and two illumination conditions. The LWR after BARC etching in which resist-smoothing was applied was decreased relative to the case in which smoothing was not applied. Resist-smoothing process also reduced LWR of an EUV exposure resist pattern by approximately 10%. These results confirm that resistsmoothing process is robust for different resists and illumination conditions.
Alternatives to chemical amplification for 193nm lithography
Burak Baylav, Meng Zhao, Ran Yin, et al.
Research has been conducted to develop alternatives to chemically amplified 193 nm photoresist materials that will be able to achieve the requirements associated with sub-32 nm device technology. New as well as older photoresist design concepts for non-chemically amplified 193 nm photoresists that have the potential to enable improvements in line edge roughness while maintaining adequate sensitivity, base solubility, and dry etch resistance for high volume manufacturing are being explored. The particular platforms that have been explored in this work include dissolution inhibitor photoresist systems, chain scissioning polymers, and photoresist systems based on polymers incorporating formyloxyphenyl functional groups. In studies of two-component acidic polymer/dissolution inhibitor systems, it was found that compositions using ortho-nitrobenzyl cholate (NBC) as the dissolution inhibitor and poly norbornene hexafluoro alcohol (PNBHFA) as the base resin are capable of printing 90 nm dense line/space patterns upon exposure to a 193 nm laser. Studies of chain scission enhancement in methylmethacrylate copolymers showed that incorporating small amounts of absorptive a-cleavage monomers significantly enhanced sensitivity with an acceptable increase in absorbance at 193 nm. Specifically, it was found that adding 3 mol% of α-methyl styrene (α-MS) reduced the dose to clear of PMMA-based resist from 1400 mJ/cm2 to 420 mJ/cm2. Preliminary data are also presented on a direct photoreactive design concept based on the photo-Fries reaction of formyloxyphenyl functional groups in acrylic copolymers.
Further analysis of the effect of point-of-use filtration on microbridging defectivity
J. Braggin, R. Gronheid, S. Cheng, et al.
In a 2009 analysis of microbridging defectivity, a design of experiment methodology was used to show the effect of filtration parameters on microbridging defectivity, specifically focusing on filter retention rating, filter media and design, filtration rate, and controlled filtration pressure. In that analysis it was shown that different filter architectures provide the most effective filtration of microbridging and that different filter architectures show different levels of microbridging defects even when optimally tuned. Ultimately, filter choice and filtration setup matter in removal of microbridging defects. In the new analysis, a similar approach was taken with additional filter types. However, in the new study the retention rating of the filters was kept constant at 10nm while other filter parameters were varied, including membrane material and design. This study will show the specific effect of the membrane material and design on microbridging defectivity in addition to the effects of filtration setup.
Point-of-use filtration methods to reduce defectivity
Jennifer Braggin, Wim Schoallert, Kenji Hoshiko, et al.
While immersion lithography has been rapidly implemented in manufacturing environments around the world, a few defect challenges still remain. Bubble and watermark defects are well understood and have been addressed by equipment manufacturers. However, a few defects still bewilder the lithography community, including residues and microbridging. These defects are difficult to completely eliminate as they may have many root causes. However, through effective point-of-use filtration, they can be greatly reduced. Point-of-use filtration has traditionally focused on selecting a filter membrane at a specific pore size that is compatible with the resist chemistry being utilized in the process. The research hereby discussed indicates that in addition to these important point-of-use filter choices, careful filtration parameter setup can improve defectivity results and impact the coating process.
Fabrication of dual damascene BEOL structures using a multilevel multiple exposure (MLME) scheme, part 2: RIE-based pattern transfer and completion of dual damascene process yielding an electrically functional via chain
Stefan Harrer, John C. Arnold, Dario L. Goldfarb, et al.
A novel back-end-of-line (BEOL) patterning and integration process termed Multi-Level Multiple Exposure (MLME) technique is herein introduced. The MLME technique simplifies BEOL dual damascene (DD) integration while simultaneously being applicable to all BEOL levels. It offers a patterning resolution reaching into the sub-100nm region and improves semiconductor manufacturing cost and throughput. MLME employs a dual-layer imaging stack (via + trench resists) cast onto a customized etch transfer multilayer stack. This process implements a strict litho-litho-etch sequence for transferring the trench- and via-patterns into the dielectric layer. Under the MLME scheme, two imaging steps (i.e. via- and trench-level patterning) are executed consecutively followed by a dry etch process that transfers the lithographically-formed patterns into the customized etch transfer multilayer stack and further into the dielectric layer. The MLME integration scheme not only decreases the number of overall process steps for the full DD BEOL process but also eliminates several inter-tool wafer exchange sequences as performed in a conventional litho-etch-litho-etch process flow. All MLME process steps were demonstrated i.e. combined 193nm-dry dual-resist layer MLME via- and trench-lithography, full pattern transfer of via- and trench-patterns into the dielectric layer using reactive ion etching (RIE), as well as electroplating and polishing of the DD patterns. This paper provides a detailed description of both post-lithography steps of the DD process for a DD BEOL structure, i.e. (i) the RIE-pattern transfer process with the customized multilayer stack, and (ii) the metallization process completing the DD process for one BEOL layer. Furthermore, the integration capabilities of the MLME technique were demonstrated and characterized by generating an electrically functional via-chain connecting two neighboring BEOL layers fabricated by subsequently applying the MLME approach to both layers. An exhaustive description and evaluation of MLME lithographic patterning is given in an accompanying paper.
Resist residue removal using UV ozone treatment
In a conventional lithography process, the resist pattern is removed by dry strip or wet chemical etch. The wet chemical etch includes sulfuric peroxide etch and solvent etch. The wet chemical etch process is always combined with the dry strip process to meet the residue process spec. However, in some applications, only the wet-etch process can be used to avoid substrate damage during the plasma step. However, organic residue can be found from particle surface scan and TGA/DSC after normal solvent strip. In this paper, we investigate polymer residue stripping using only solvent as well as solvent in combination with UV treatment. For solvents only, some solvents different from the conventional PGMEA/PGME mixture in polarity, also exhibited stripping ability but the efficiency is not as good as PGME/PGMEA mixture. When supplemented with UV treatment, the organic residue can be further decomposed and removed completely. The UV we used contains 185nm and 254nm wavelengths. Ozone is generated during UV exposure and acts as oxidant. The organic residue is thus decomposed and removed. It has been proven as an effective method to cleave the C-C bond without damaging the wafer substrate. The organic residue on the wafer surface can be easily stripped away under UV-ozone exposure. Its defect performance is also discussed in this paper.
The imaging study of a novel photopolymer used in I-line negative-tone resist
By copolymerization of 2-(2-diazo-3-oxo-3-(4-dimethylaminophenyl)propionyloxy)ethyl methacrylate (DODMAPPEA), methyl methacrylate (MMA) and 2-hydroxyethyl methacrylate (HEMA), a photoactive polymer for negative-tone resist is synthesized and its photolithographic properties are investigated. Since the maximum-absorption wavelength of the photoactive monomer DODMAPPEA is 356nm and it still has a comparatively large absorption at 365nm (I-line), the copolymer poly(DODMAPPEA -co-MMA-co-HEMA) is anticipated to be used in I-line single component negative-tone resist. Upon irradiaton, the diazoketo groups which are in the side chains of the copolymers undergo the wolff rearrangement, affording ketenes that react with hydroxyl to provide cross-linking photoproducts and a negative image is obtained. Besides that, cross-linking agent hexamethoxymethylmelamine (HMMM) is added to the resist system and high sensitivity is expected. This kind of copolymer has great value in I-line non-CARs, TFT-LCD and IC discrete devices processing and the anti-dry etching ability is enhanced by the introduction of the benzene ring. In addition, this copolymer still has potential value in Ultra-violate lithographic plate.
Development of spin-on hard mask materials under resist in nano imprint lithography
Nanoimprint lithography is a newly developed patterning method that employs a hard template for the patterning of structures at micron and nanometerscales. This technique has many advantages such as cost reduction, high resolution, low line edge roughness (LER), and easy operation. However, resist peeling, defects, low degree of planarization, and low throughput issues present challenges that must be resolved in order to mass produce advanced nanometer-scale devices. In this study, the new approach of using spin-on hard mask materials under the resist to modify its adhesion during a UV irradiation process in nano imprint lithography was proposed to increase process latitudes. The performance of this process is evaluated by using step and flash imprint lithography. We expect that these spin-on hard mask materials (NCI-NIL-U series) under organic resist will be one of the most promising materials in the next generation of nano imprint lithography.
Defect performance of a 2X node resist with a revolutionary point-of-use filter
J. Braggin, R. Ramirez, A. Wu, et al.
In today's competitive lithography market, resist manufacturers are always striving to create a product to meet lithographic challenges while maintaining a low inherent defect level. While bulk filtration used in resist manufacturing removes a majority of the inherent defectivity, point-of-use filtration is still required to ensure that defects are not passed from the bottle to the wafer. As Moore's law drives lithographers to ever decreasing dimensions, resist manufacturers must find new ways of filtering their chemistries to make sure that the smallest defects cannot create the biggest yield detractors. In addition, IDMs must use new innovations to explore point-of-use filtration techniques to protect their valuable patterns. This paper will show the conditions that can reduce defectivity in an immersion lithography scheme. More specifically, advanced point-of-use filtration techniques, including revolutionary filter membrane technology and advanced filtration settings, will be explored to understand potential 22nm node defect performance. By thinking ahead about the filtration needs of the future, resist manufacturers, IDMs, and equipment manufacturers can all work toward an understanding of the complex nature of filtration, ultimately yielding a new, low defectivity regime at the smallest pattern sizes.
New filter rating method in practice for sub-30-nm lithography process filter
Toru Umeda, Takehito Mizuno, Shuichi Tsuzuki, et al.
A new method for rating retention in lithography process filters has been developed. The method employs a gold nanoparticle contaminant challenge, inductively coupled plasma mass spectrometry as a concentration detector, and dynamic light scattering as a particle size detector, all of which enable accurate, reliable filter retention rating below 30 nm. There is good agreement between results obtained with the new method and results obtained with a conventional polystyrene latex bead challenge. A filter that was rated at 10 nm using extrapolative methods was confirmed to be 10 nm using the new challenge test. Microbridge removal efficiency of polyethylene filters rated by the new method was studied in a 193 nm (dry) lithography process and the new method was verified. When applied to commercially available filters that are rated below 30 nm, the new method revealed significant differences in removal efficiency among similarly labeled filters.
The use of surface modifiers to mitigate pattern collapse in thin film lithography
It has become clear that although line edge roughness and resolution are important for future lithographic technology nodes, other issues such as pattern collapse must be addressed as well. One of the primary modes of pattern collapse is pattern collapse caused by loss of adhesion of the resist from the substrate. The main forces which govern pattern collapse by adhesion failure are related to substrate/resist interactions. Though several methodologies to improve pattern collapse have been investigated, such as the use of surfactants during the final rinse, the use of such methods virtually all suffer from some serious drawback. To this end, we have developed a reactive surface modifier capable of covalently attaching to a positive tone resists containing hydroxystyrene groups. A vinyl-ether-modified silane was prepared and effectively applied using a solution silanization reaction. A hydroxystyrene-based positive tone copolymer resist was applied and subjected to a post apply bake to allow sufficient time for reaction with the surface modifier to occur prior to patterning using e-beam lithography. Ultimately, it was determined that covalent attachment of the surface modifier to the photoresist during the post apply bake resulted in enhanced resist/substrate adhesion of photoresist lines as evidenced by improved pattern collapse performance in high resolution imaging experiments.
Thin film buckling as a method to explore the effect of reactive rinse treatments on the mechanical properties of resist thin films
As integrated circuit fabrication continues to advance towards the 32 nm node and below, it has become increasingly apparent that the use of ultrathin films and polymer features will be required. Though it has been widely accepted that the properties of polymers on the nanoscale can differ significantly from their bulk counterparts, the extent of such deviation is the subject of much debate and concern. Furthermore, most studies have focused on elucidating the differences in the thermal properties of micro- and nano-scale polymer films as determining the mechanical properties of ultrathin films can be somewhat cumbersome. In order to study the modulus of polymer thin films we have implemented a thin film buckling technique wherein a polymer film is floated onto a pre-strained PDMS substrate. Release of the strain, results in the buckling of the polymer film and provides the opportunity to accurately determine the modulus of polymer thin films with thicknesses down to 20 nm. This thin film buckling strategy was also used to probe the effect of thickness on the modulus of the ESCAP-1 thin films. Finally, a reactive rinse method was employed whereby the hydroxyl functional groups of the resist were cross-linked via a dicarboxylic acid using carbodiimide chemistry as a potential method to ultimately enhance lithographic patterning performance. The effect of the reactive rinse on the modulus of the ESCAP-1 thin films was analyzed and it was found that the application of the reactive rinse resulted in a clear increase in the modulus of the polymer films. Also, quartz crystal microbalance (QCM) supporting the confinement of the crosslinking agents to the surface will be discussed.
EUV Resist Materials and Processes
icon_mobile_dropdown
Highly sensitive EUV-resist based on thiol-ene radical reaction
Masamitsu Shirai, Koichi Maki, Haruyuki Okamura, et al.
Non-conventional chemically amplified (CA) resist was designed. Photo-induced thiol/ene radical reaction was used to insolubilize the resist based on multifunctional thiol and poly(4-hydroxystyrene) (PHS) derivatives. Hydroxy groups of PHS were modified with allyl or propargyl moiety. Dissolution property of the modified-PHS in TMAHaq solution was affected by the modification degree. Resist was prepared by mixing the modified-PHS, multifunctional thiol compound, and photoradical generator. Photosensitivity of the resist was studied at 254 and 13.5 nm. The sensitivity was strongly affected by the modification degree of PHS, molecular weight of PHS, molecular weight distribution of PHS, amounts of thiol compound and photoradical generator added. It was found that the present resist system was highly sensitive to EUV exposure.
Dynamics of radical cation of poly(4-hydroxystyrene) generated in thin film upon exposure to electron beam
In chemically amplified resists for extreme ultraviolet (EUV) and electron beam (EB) lithographies, the reaction mechanism of acid generation is different from that for photolithography. However, details of acid generation are still unclear. In particularly, details of the deprotonation dynamics of radical cations in solid resist films have not been investigated. The dynamics of radical cations of resist polymer is important for understanding proton generation. Poly(4-hydroxystyrene) (PHS) is a typical polymer for EUV and EB lithographies. We observed the dynamics of PHS radical cation in PHS film by using pulse radiolysis.
Evaluation of hydroxyl derivatives for chemically amplified extreme ultraviolet resist
Kikuo Furukawa, Yoshihisa Arai, Hiroki Yamamoto, et al.
Extreme ultraviolet (EUV) lithography is the most favorable process for high volume manufacturing of semiconductor devices at 22nm half-pitch and below. Many efforts have revealed that the phenolic hydroxyl groups of polymers are also an effective proton source in acid generation in EUV resists, and the effective proton generation and the control of the generated acid diffusion are required to improve the breakthrough of the resolution - line width roughness - sensitivity (RLS) trade-off. To clarify the lithographic performance of these derivatives, we synthesized the acrylic terpolymers containing phenolic and alcoholic hydroxyl derivatives as model photopolymers and exposed the resist samples based on these polymers to EUV and electron beam (EB) radiation. On the basis of the lithographic performances of these resist samples, we evaluated the characteristics of phenolic and alcoholic derivatives upon exposure to EUV radiation. We discuss the relationship between the chemical structures of these derivatives and lithographic performance.
Characterization of the effects of base additives on a fullerene chemically amplified resist
Jedsada Manyam, Mayandithevar Manickam, Jon A. Preece, et al.
Molecular resists are of increasing interest as a route to improving the resolution - line width roughness - sensitivity trade-off of resists for next generation lithography. We have previously presented a three component fullerene derivative based negative tone chemically amplified resist capable of ~12 nm sparse feature size, ~20 nm half pitch, sub 5 nm line width roughness, sub 10 μC/cm2 sensitivity, and high etch durability with electron beam lithography. In order to further improve the performance of the resist we have studied the use of acid quenchers on the performance of the resist. We evaluated six quenching additives including five base additives and a triphenylsulfonium photoacid generator that also shows a quenching effect. The sensitivity and resolution of the resist were evaluated as the proportion of the quencher was varied with respect to the other resist components. Certain quenchers were seen to strongly suppress the sensitivity of the resist, even at low concentrations, whilst an amide base actually enhanced the sensitivity at the cost of resolution. Improvements over the previous best feature width and half pitch were not achieved, but it was possible to reduce the effects of beam defocusing on sidewall angle indicating an improvement in contrast for certain additives.
Study on acid diffusion length effect with PAG-blended system and anion-bounded polymer system
Fundamental studies on polymer bounded PAG and polymer - PAG blend type were carried out with the viewpoint of dissolution property, lithographic performance, and blur. These materials were prepared to be able to directly compare and to discuss the difference between blend and bounded PAG, with different PAG loading amount. Dissolution property revealed the clear difference of these materials tendency to the PAG loading amount variation. Lithographic performance difference corresponds to the dissolution property difference, and there found the strategy to improve lithographic performance with polymer bounded PAG type resist. Blur study suggests the advantage in polymer bounded PAG in resolution.
Changes in vertical PAG distribution inside photoresist due to the variation of concentration
Jae Hyun Kim, Sung Il Ahn, Jin Goo Yoon, et al.
Vertical distribution of photo acid generator (PAG) inside CA-type photoresist is inferred from X-ray reflectivity (XRR) analysis which gives the information on the vertical electron density profile of thin film. The difference between the density distribution of normal photoresist and pure resin indicates the approximate distribution of PAG. The electron density profile of each film is obtained by fitting method for the XRR results based on distorted wave Born approximation (DWBA) approach. In this study, trends in density distributions varied by concentration of PAG suggest that the inhomogeneous distributions of PAG near the surface or interface of photoresist film occurs due to interactions between PAG molecules and substrate, or polymer resin. Distributions with low concentration of PAG (2 wt%) show that the PAG molecules tend to be concentrated near the surface of photoresist, while over-load of PAG (20 wt%) results in the density increase near the interface region.
Double Patterning and Double Exposure
icon_mobile_dropdown
Process parameter influence to negative tone development process for double patterning
Shinji Tarutani, Sou Kamimura, Jiro Yokoyama
Process parameter influence in resist process with negative tone development (NTD) to pattern size (CD), CD uniformity (CUD), and defectivity are studied to estimate the impact for process stability in high volume manufacturing (HVM) of semiconductor devices. Since double exposure process is one of the candidates in contact hole patterning, exposure to exposure delay was studied. There is a possibility to design the off-line system with NTD process, therefore, exposure - PEB delay and PEB - development delay were studied. As basic development parameter studies, development time, developer temperature, developer volume, and rinse time dependency on CD, CDU, and defectivity were investigated.
Bottom anti-reflective coatings (BARC) for LFLE double patterning process
Rikimaru Sakamoto, Takafumi Endo, Bang-Ching Ho, et al.
Double patterning process with ArF immersion lithography has been developed as one of the most promising candidate for hp32 node and beyond. However the complicated process flow and cost of ownership are the critical issue for this process. LELE (Litho-Etch-Litho-Etch) is the one of the standard process, but in order to reduce the process and cost, that LPLE(Litho-Process-Litho-Etch) process have been investigated as the alternative process. In these processes, organic Bottom-Anti-Reflective Coating (BARC) is used two times with same film in both 1st Litho and 2nd lithography process. In 2nd lithography process, resist pattern will be printed at space area where exposed and developed in 1st lithography process. Therefore, organic BARC needs to have process stability in photo and development step to keep good litho performance between 1st and 2nd lithography in LPLE process. This paper describes the process impact of 1st exposure and development for organic BARC, and the LPLE performance with optimized organic BARC will be discussed.
Mesh patterning process for 40nm contact hole
Contact hole patterning is more difficult than line/space patterning as mask error factor is higher in contact hole patterning which has 2-dimensional patterns. As the industry moves towards 40nm node and beyond, the challenges associated with contact hole having a manufacturable process window have become increasingly difficult. Current 1.35NA ArF lithography is capable of printing 50nm contact hole with a stable process window at best. Conventional contact hole patterning processes such as resist reflow and RELACS are no longer able to be used for half-pitch 40nm contact hole pattern because we have to shrink not only hole diameter but also pattern pitch. In this paper, we will demonstrate and compare the patterning performance of the mesh patterning processes including litho-etch-litho-etch, cap freezing and self freezing process.
Advanced self-aligned DP process development for 22-nm node and beyond
Arisa Hara, Eiichi Nishimura, Masato Kushibiki, et al.
Although numerical aperture (NA) has been significantly improved to 1.35 by the introduction of water-bases immersion 193-nm exposure tools, the realistic minimum feature size is still limited to 40 nm even with the help of robust resolution enhancement techniques (RETs). Double patterning processes are techniques that can be used for fabricating etching mask patterns for 32-nm nodes and possibly for 22-nm nodes as well. Although several double patterning processes have been introduced such as LELE[1], LLE[2] and the self-aligned spacer process, LELE and LLE suffer from the need for high overlay accuracy. The self-aligned spacer process[3], meanwhile, has drawn much attention as an effective means of forming repetitive patterns easily. This paper presents results of innovative experiments on the fabrication of 22-nm node patterns by the DP spacer process.
Novel approaches to controlling photo-resist CD in double patterning processes
Kazuo Yabe, Kazuhide Hasebe, Shigeru Nakajima, et al.
Numerical aperture (NA) has been significantly improved to 1.35 by the introduction of water-based immersion 193-nm exposure tools, but the realistic minimum feature size is still limited to 40 nm even with the help of robust resolution enhancement techniques (RETs). Double patterning processes are techniques that can be used for fabricating etching mask patterns for 32-nm nodes and possibly for 22-nm nodes as well, but the aspect ratio of such etching mask patterns have been reduced with scaling. At the same time, dramatic improvements in the etching durability of photo resist have not been made. This paper introduces a robust pattern-slimming process that maintains pattern height.
Simplified "Litho-Cluster-Only" solution for double patterning
H. Tanaka, K. Hoshiko, T. Shimokawa, et al.
Double patterning is one of the enabling techniques to allow for further shrinking of devices in the future. Many different solutions, like LELE (Litho-Etch-Litho-Etch) and LPL (Litho-Process-Litho), have been investigated in the past years. In this paper a simplified - "Litho-Cluster-Only" - solution for double patterning is presented. This topcoat-less thermal freeze process has high capability of reaching 26 nm 1:1 LS. In addition it is shown that defect counts for the thermal freeze process approach defect numbers for high end immersion processes.
Process performance of novel resist material and novel coater/developer system for cross-line contact hole process
Tsuyoshi Nakamura, Jiro Yokoya, Katsumi Ohmori, et al.
Double patterning techniques are one of the dominant method to achieve the 32 nm node and beyond and Litho-Litho- Etch (LLE) process is a strong candidate for double patterning method. Contact hole resolution is limited by the low image contrast using dark field masks. Cross-line contact hole process using LLE process is applicable to image fined contact holes. Contact hole patterns are formed by first line and space patterns and orthogonal second line and space patterns. Furthermore LLE process flow should be simple as possible as it can for cost reduction. Thus LLE process without freezing process is ideal one. In this paper, we examine the process performance using latest material for freezing free LLE process, exposure tool and novel coater/developer system. The latest resist materials can form cross-line contact hole with good pattern fidelity and CD uniformity. It will be shown that novel coater/developer hardware is effective on enhancement of lithography performance like CD control and defect control toward double Patterning technology for 193-nm immersion lithography.
Improving CD uniformity for thermal cured systems in double patterning
Lori Joesten, Ken Spizuoco, Yi Liu, et al.
The implementation of double patterning processes in 193 immersion lithography is moving forward. The industry is examining several methods of producing robust double pattern images. These methods include thermal cure resists and the use of a spin on chemical to cure the layer 1 resist image. Thermal cure resist systems require fewer processing steps than a chemical curing process. An effective thermal cure process improves process throughput, reduces chemical costs and reduces process complexity In either case, producing wafers with adequate CD Uniformity (CDU) relies on the ability of the layer 1 resist to remain inert during subsequent processing steps. The goal of this paper is to isolate and optimize the critical processing steps using thermal cured resists in order to improve CDU. The system includes a layer 1 thermal cured resist and a traditional layer 2 resist. Processing was done using a TEL Lithius I+ and an ASML XT Twinscan 1900i. The feature of interest is a 42 nm x-Hatch contact hole produced by horizontal lines exposed with layer 1 and vertical lines exposed with layer 2.
Reaction kinetics of non-reciprocal photo-base generator (NRPBG) patterning
D. Shykind, R. Bristol, J. Roberts, et al.
We present a simple reaction rate analysis of lithographic patterning using the Non-Reciprocal Photo Base Generation (NRPBG) scheme of Bristol (Bristol, et. al., to be published in Proceedings of the SPIE - The International Society for Optical Engineering, 2010, presentation 7639-4). Multistep reaction kinetics simulations demonstrate that the NRPBG scheme produces clear pitch division upon 193 nm double-exposure, over a range of photochemical reaction rate constants.
Development of reverse materials for double patterning process
Yasushi Sakaida, Hiroaki Yaguchi, Rikimaru Sakamoto, et al.
Materials and processes for double patterning using 193nm immersion lithography has been developing for the 32/22 nm node device generations. As for double patterning , some patterning methods such as LELE (Litho Etch Litho Etch) process and LFLE (Litho Freeze Litho Etch) process have already been reported. LELE process is complicated and is a low throughput process compared to LFLE process. On the other hand, freezing process and freezing material are needed in LFLE process. Contact hole (C/H) and trench pattern resolution are limited by low aerial image contrast. Then, we examined the process and the material that was able to form a minute pattern without increasing the number of processes as much as possible. So image reverse process has one of technique to form the fine hole pattern and trench pattern. The pillar pattern is obtained by the X-Y double line exposures. Then, the reverse material is applied on the pillar pattern and the subsequent process (dry etching or wet etching process) converts the pillar pattern into a hole pattern. In this paper, we studied the reverse process and materials. Methyl isobutyl carbinol (MIBC) was selected as a slovent for the Si contained reverse material. MIBC solvent system has no damege for PR film and pattern. Plannarizaion of reverse material is important for image reverse and pattern transfer.
Immersion Materials and Processing
icon_mobile_dropdown
Study of post develop defect on TC-less immersion resist
Masahiko Harumoto, Sadayasu Suyama, Tadashi Miyagi, et al.
This study reports on post develop defect on TC-less immersion resist system. There are major defects on TC-less resist system, for example micro-Bridging, Blob and pattern collapse defect, as is well known. Among these defect, we reported Blob and pattern collapse defect could be reduced by Acid rinse involving CO2. However, we also reported there was the difference in the effect for each resist. In this work, we show the great effective and slight effective case for post develop defect and we discuss the cause of difference in acid rinse effect. We evaluated and confirmed the effect on each resist, pattern, exposed area location, develop process and so on. Furthermore, we made a mechanism of defect appearing based on the analysis of defect components and the measurement of resist surface condition for each develop process. Finally we show the novel approach to post develop defect reduction on TC-less immersion resist system.
Novel topcoat materials with improved receding angles and dissolution properties for ArF immersion lithography
Sang Geun Yun, Jin Young Lee, Young Soo Yang, et al.
A topcoat material plays a significant role in achieving technology nodes below 45 nm via ArF immersion lithography. Switching the exposure medium between the lens and the photoresist (PR) film from gas (air, n=1) to liquid (H2O, n=1.44) may lead to leaching of the polymer, the photoacid generator (PAG), or the solvent. These substances can contaminate the lens or cause bubbles, which can lead to defects during the patterning. Previously reported topcoat materials mainly use hydrophobic fluoro-compounds and carboxylic acids to provide high dissolution rates (DR) to basic developers as well as high receding contact angles (RCA). Recently, the demand for a new top-coat material has risen since current materials cause water-mark defects and decreases in scan speeds, due to insufficient RCA's. However, RCA and DR are in a trade-off relationship as an increase in RCA generally results in a lower DR. To overcome this, a novel polymer with high-fluorine content was synthesized to produce a topcoat material with improved DR (120 nm/s in 2.38 wt% TMAH) and RCA (>70°). In addition, a strategy to control the pattern profile according to needs of customers was found.
Evaluation of next generation hardware for lithography processing
This work is the summary of improvements in processing capability implemented and tested on the LITHIUS ProTM -i / TWINSCANTM XT:1950Hi litho cluster installed at ASML's development clean room at Veldhoven, the Netherlands. Process performance with regards to CD uniformity (CDU) and defectivity are investigated to confirm adherence to ITRS roadmaps specifications. Specifically, imaging capabilities are tested for 40nm line 80nm pitch with the new bake plate hardware for below hp 3Xnm generation. For defectivity, the combination of Coater/Developer defect reduction hardware with the novel immersion hood design will be tested. For CDU improvements, the enhanced Post Exposure Bake (PEB) plate hardware was verified versus performance of the previous technology plate. Additionally, after the PEB improvement, a remaining across wafer signature was reduced with an optimized develop process. The total CDU budget was analyzed and compared to previous results. Finally the optimized process was applied to a non top coat resist process. For defectivity improvements, the effectiveness of ASML's new immersion hood and TEL's defect reduction hardware were evaluated. The new immersion hood performance was optimal on very hydrophobic materials, which requires optimization of the track hardware and process. The high contact angle materials could be shown to be successfully processed by using TEL's Advanced Defect Reduction (ADR) for residues related to the high contact angle and optimized bevel cut strategy with new bevel rinse hardware. Finally all the optimized processes were combined to obtain defect counts on a highly hydrophobic resist well within manufacturing specifications.
Topcoat-less resist process development for contact layer of 40nm node logic devices
Masafumi Fujita, Takayuki Uchiyama, Tetsunari Furusho, et al.
ArF immersion lithography has been introduced in mass production of 55nm node devices and beyond as the post ArF dry lithography. Due to the existence of water between the resist film and lens, we have many concerns such as leaching of PAG and quencher from resist film into immersion water, resist film swelling by water, keeping water in the immersion hood to avoid water droplets coming in contact with the wafer, and so on. We have applied to the ArF dry resist process an immersion topcoat (TC) process in order to ensure the hydrophobic property as well as one for protecting the surface. We investigate the TC-less resist process with an aim to improve CoO, the yield and productivity in mass production of immersion lithography. In this paper, we will report TC-less resist process development for the contact layer of 40nm node logic devices. It is important to control the resist surface condition to reduce pattern defects, in particular in the case of the contact layer. We evaluated defectivity and lithography performance of TC-less resist with changing hydrophobicity before and after development. Hydrophobicity of TC-less resist was controlled by changing additives with TC functions introduced into conventional ArF dry resist. However, the hydrophobicity control was not sufficient to reduce the number of Blob defects compared with the TC process. Therefore, we introduced Advanced Defect Reduction (ADR) rinse, which was a new developer rinse technique that is effective against hydrophobic surfaces. We have realized Blob defect reduction by hydrophobicity control and ADR rinse. Furthermore, we will report device performance, yield, and immersion defect data at 40nm node logic devices with TC-less resist process.
Productivity improvement in the wafer bevel and backside cleaning before exposure
Yoichi Tokunaga, Shuuichi Nishikido, Kousuke Yoshihara, et al.
The Back-side Surface Treatment ("BST") is a module that cleans the backside of a wafer just before a process on the exposure system. To support immersion lithography, Tokyo Electron introduces a bevel cleaning function to this module. This enables cleaning of both wafer backside and bevel section at the same time. We evaluate the new BST module for wafer cleaning performance, wafer backside cleaning performance and wafer topside particle control performance. The effectiveness of the BST module is tested in an actual production line. It is proved that the introduction of the BST module reduces defocus and blocked images.
High contact angle fluorosulfonamide-based materials for immersion lithography
Daniel P. Sanders, Linda K. Sundberg, Masaki Fujiwara, et al.
Fluoroalcohol-containing materials have found considerable use in 193 nm immersion topcoat and topcoat-free immersion resist materials due to their good water contact angles and base-dissolution properties. Trifluoromethanesulfonamide-containing materials are another alternative which have been explored for use in 193 nm photoresist and immersion topcoat applications; however, fluorosulfonamide materials have suffered from issues such as low water contact angles. In this paper, we report the synthesis of a series of fluorosulfonamide-containing methacrylate materials with water contact angle and base dissolution performance that rivals or exceeds that of comparable fluoroalcohol-based materials.
ARCs and Multilayer Processes
icon_mobile_dropdown
Photoresist-induced development behavior in DBARCs
Jim D. Meador, Alice Guerrero, Joyce A. Lowes, et al.
Developer-soluble bottom anti-reflective coating (DBARC) BSI.W09008 has provided promising lithography results with five different 193-nm photoresists, with the accomplishments including 120-nm L/S (1:1) and 130-nm L/S through-pitch (i.e., 1:1, 1:3, and isolated line). This DBARC is not inherently light sensitive and depends on diffusing photoacid from the exposed photoresist for development. With undercutting being an issue for the PAG-less DBARC with some resists, the shapes of 130-nm lines (both dense and isolated) were improved by either a) incorporating a small amount of a base additive in the BSI.W09008 formulation or b) altering the structure of the DBARC's binder polymer. With selected photoresist(s) and/or resist processing conditions, either photoacid diffusion or photoacid activity is inadequate to give DBARC clearance and BSI.W09008 performs more as a dry BARC. The post-development residue obtained from BSI.W09008 on a silicon substrate is much less dependent on the initial DBARC film thickness and the exposure dose than for earlier-generation photosensitive (PS)-DBARC BSI.W07327A, using the same photoresist. BSI.W09008 also gives less post-development residue than BSI.W07327A using the same resist on a silicon nitride substrate at exposure doses of 14-25 mJ/cm2.
Noble design of Si-SOH in trilayer resist process for sub-30-nm logic device
Tae-Hwan Oh, Yunsuk Nam, Chansam Chang, et al.
In hyper NA immersion lithography which has over 1.0 numerical aperture (NA) exposure system, reflectivity control between PR and substrate is key technique to overcome resolution limit. Trilayer resist process, which has two layers of spin-on hard mask (SOH) composed of silicon and carbon, was introduced and applied to various generation of ArF lithography from dry to immersion process. However, lack of adhesion between PR (hydrophobic) and Si-SOH (hydrophilic) can cause pattern collapse problem. Moreover, PR profile was not easily adjusted to optimum shape because some side reaction may be occurred at the interfacial layer between PR and Si-SOH. Herein, we studied how to control interfacial side reaction between PR and Si-SOH layer in Trilayer process. We approached three conceptual items: acidity control to PR, uniformity control of Si-SOH itself, and intermixing control of Si-SOH with PR. First, we checked PR lifting margin with line and space pattern. Although vertical profile was obtained in contact pattern, it was useless if line pattern was collapsed. With first screening tests, we made a conclusion that a major factor for side reaction at interfacial layer was penetration of proton into Si-SOH layer produced exposed region. To solve that problem, intermixing control of Si-SOH with PR was the best solution. We introduced network structure formation with Si-O-Si bond by cross-linking catalyst. AFM and contact angle data showed improved surface morphology. We could obtain improved pattern profiles with several PR samples. This result can be optimized to various generations of ArF immersion lithography and further more.
Process optimization consideration for 193nm developable bottom anti-reflective coatings (DBARCs)
Developable BARCs (DBARCs) are useful for implant layers because they eliminate the plasma etch step avoiding damage to the plasma sensitive layers during implantation. It is expected that DBARC will also be used for non-implant layers and double exposure technology. AZ has pioneered DBARC based on photosensitive cleave as well as crosslink/decrosslink mechanisms. In this paper, we focus on various processing factors for 193nm DBARC and discuss the influences of prewet, thickness, topography and substrates on lithographic performance. Prewet of DBARC before resist coating deteriorated performance, however, it was resolved by modifying DBARC formulations. The optimized DBARC showed both optical and lithographic performance comparable to conventional BARCs. DBARCs minimized reflection from the substrates and notching of patterns was improved observed on silicon oxide topography. This paper includes simulation, DBARC contrast curve analyses, and recent dry and immersion exposure results of DBARC.
Improving material-specific dispense processes for low-defect coatings
Brian Smith, Raul Ramirez, Jennifer Braggin, et al.
Minimizing defects in spin-on lithography coatings requires a careful understanding of the interactions between the spin-on coating material and the filtration and dispense system used on the coating track. A wet-developable bottom anti-reflective coating (BARC) was examined for its interaction with polyamide and UPE media when utilizing the Entegris IntelliGen Mini dispense system. In addition, a new method of priming the filter and pump is described which improves the wetting of the filter media, preventing bubbles and other defect-generating air pockets within the system. The goal is to establish plumb-on procedures that are material and hardware specific to avoid any defect problems in the coating process, as well as to gain a better understanding of the chemical and physical interactions that lead to coating defects. Liquid particle counts from a laboratory-based filtration stand are compared with on-wafer defects from a commercial coating track to establish a correlation and allow better prediction of product performance. This comparison in turn will provide valuable insight to the engineering process of product filtration and bottling at the source.
Accelerating the dual damascene process time by new filling material
Kung-Hsun Tsao, Yu-Huan Liu, Tsz-Yuan Chen, et al.
Dual damascene technique has been widely applied to IC device fabrication in copper interconnect process. For traditional via-first dual damascene application, a fill material is first employed to fill via to protect over-etching and punch-through of the bottom barrier layer during the trench-etch process. Etch-back process is then applied to remove excess overfill thickness and maintain a greater planar topography. To get better CD control, a thin organic BARC is finally coated to reduce reflectivity for trench patterning but not in this study. It is a multi-step and costly dual damascene process. In this study, a new gap-filling BARC material with good via fill and light absorption features was adopted to explore the via-first dual damascene process by skipping etch-back and BARC coating steps. The results show not only the reduction of process cycle time and cost saving but also the CP yield improvement based on data from pilot production of 0.11/0.13 μm logic device.
Molecular Resists
icon_mobile_dropdown
Development of molecular resists based on Phenyl[4]calixarene derivatives.
Masatoshi Echigo, Hiromi Hayashi, Hiroaki Oizumi, et al.
We have developed negative-tone molecular resist based on C-4-cyclohexylphenylcalix[4]resorcinarene(MGR108) and positive-tone molecular resist based on protected C-4-isopropylphenylcalix[4]resorcinarene (MGR104P). Both MGR108 and MGR104P showed high solubility in both conventional resist solvents such as propylene glycol monomethyl ether and conventional alkaline developer of 0.26N TMAHaq. In this paper, we show current performance of resists by EB lithography (EBL) and EUV lithography (EUVL).
All-dry processible and PAG-attached molecular glasses for improved lithographic performance
Marie Krysak, Tristan Kolb, Christian Neuber, et al.
As the semiconductor industry moves forward, resolution limits are being pushed to the sub-30 nm regime. In order to meet these demands, radical new resist design and processes must be explored. We have developed a molecular glass system for all-dry processing conditions. Physical vapor deposition (PVD) has been used for film formation onto silicon wafers. PVD deposits a uniform film of controlled thickness free from impurities that are often introduced by casting solvents used in traditional spin coating methods. Thermal development is used as an alternative to processing in solvents in order to prevent resist swelling and pattern collapse by capillary forces. The deposited molecule is designed to crosslink upon E-beam irradiation without additives, and therefore form a homogeneous, single component film. PAG-attached molecular glasses have been synthesized in order to promote film homogeneity as well. By tethering PAG directly to the molecular glass core, issues such as PAG aggregation can be remedied. Acid migration, which increases blur and LER, can also be hindered.
Molecular glass positive i-line photoresist materials containing 2,1,4-DNQ and acid labile group
Liyuan Wang, Jinxing Yu, Na Xu
Recent years increasing attention has been given to molecular glass resist materials. In this paper, maleopimaric acid, cycloaddition reaction product of rosin with maleic anhydride, was reacted with hydroxylamine and then further esterified with 2-diazo-1-naphthoquinone-4-sulfonyl chloride to give N-hydroxy maleopimarimide sulfonate. The carboxylic acid group of the compound was then protected by the reaction of this compound with vinyl ethyl ether or dihydropyran. Thus obtained compounds were amorphous. When irradiated with i-line light, the 2,1,4-DNQ group undergo photolysis not only to give off nitrogen gas but also generate sulfonic acid which can result in the decomposition of the acid labile group. So, a novel chemically amplified positive i-line molecular glass photoresists can be formed by the compound and other acidolytic molecular glass compounds. The lithographic performance of the resist materials is evaluated.
Novel molecular glass photoresist materials for next-generation lithography
Arimichi Okumura, Yoshinori Funaki, Akira Takaragi, et al.
A series of Adamantanephenol derivatives was synthesized from adamantinetriphenol / adamantinetrimethylhydroquinone and vinylether compound. Solubility for resist solvent or alkali developer of those materials and thermal properties were examined. Adamantanetrimethylhydroquinone cross-linked with divinylether (AmHQ-CL) had excellent properties as positive tone resist material. We evaluated lithographic properties of AmHQ-CL with photo acid generator and base. Line and space pattern was formed with EB exposure followed with post exposure bake and alkali development. Pattern of smooth wall surface was obtained by removing high molecular weight component from AmHQ-CL. Line width roughness (LWR) of the pattern AnHQ-CL nMWD was less than 30 nm. It was very small value compared with that of traditional polymer resist.
Non-traditional resist designs using molecular resists: positive tone cross-linked and non-chemically amplified molecular resists
Two different types of non-traditional molecular resists were synthesized and characterized. A positive-tone cross-linked molecular resist was made that functions by first forming an etch resistant film via thermal cross-linking of vinyl ether functionalized small molecules followed by patterning of the film via acid catalyzed cleavage of the resulting acetal bonds. DPA-2VE, a single multi-functional molecular resist of this type, showed DUV sensitivity of 7 mJ/cm2 and a contrast of 5.2 for development in either organic solvent or aqueous base. Using high resolution patterning with a 100 keV e-beam, it was possible to demonstrate feature resolutions down to 40 nm. When 0.26N TMAH was used as a developer, the dose-to-size was 84 μC/cm2 with a 3σ LER of 14.2 nm. Using MIBK as a developer, the dose-to-size was 104 μC/cm2 and the 3σ LER was 7.4 nm. A series of non-chemically amplified molecular resists based on using 2- nitrobenzyl ethers as photosensitive protecting groups were also made. One formulation showed a DUV sensitivity of 1 mJ/cm2, while another formulation which showed the best contrast of 8.3 obtained at a sensitivity of 10 mJ/cm2. However, under 100 keV e-beam patterning, the 2-nitrobenzyl ether protected materials showed little to no response even up to 3000 μC/cm2.
Simulation of Resist Processes
icon_mobile_dropdown
Three-dimensional mesoscale model for the simulation of LER in photoresists
A three-dimensional kinetic Monte Carlo model has been developed to simulate design and processing effects on the line edge roughness and patterning of photoresists. The model is capable of simulating most all of the important parameters involved in resist processing from film formation and exposure to development. It can be used to examine the effect of photoacid generator loading, photoacid diffusion, deprotection reaction, quencher loading, base diffusion, and acid/base reactions, with the flexibility to add more physics as needed. The model is able to reproduce experimentally observed trends of the effect of base loading on LER through all levels of base loading and the effect of reduced aerial image contrast on the LER of resists. It also shows good agreement with experimental results on the effect of PAG loading through around 20% PAG loading. The scaling factors for LER were also examined. It was found that the gradient in polymer protection (dP/dx) provides a very good predictor for LER in some cases, but is insufficient for other conditions when the dominant cause of LER changes such as non-uniformity along the line edge from things like PAG or polymer aggregation.
Evolution of resist roughness during development: stochastic simulation and dynamic scaling analysis
The examination of the roughness evolution of open-surface resist films during development may elucidate the material origins of Line Edge Roughness. In this paper, a stochastic simulator of resist development is used and the surface roughness evolution is analyzed with dynamic scaling theory. A power-law increase of rms roughness and correlation length is found for resists with homogeneous solubility. The scaling exponents are shown to obey the dynamical scaling hypothesis of Family-Viscek. The insertion of inhomogeneity in the solubility of resist causes much larger increase of rms roughness and anomalous scaling behaviour. Comparison with experimental results shows good agreement with the simulation predictions.
Macroscopic and stochastic modeling approaches to pattern doubling by acid catalyzed cross-linking
Jürgen Fuhrmann, André Fiebach, George P. Patsis
Pattern doubling by cross-linking of a spacer triggered by residual acid diffusion from a previously developed primary structure into the spacer is a possible option to create structure widths below the nominal resolution of the optical process. An advantage of such a process step would be the self-alignment to the primary structure, which would render a second exposure step unnecessary. Using macroscopic and stochastic modeling approaches, we demonstrate that it may be possible to control the width of the secondary structure created by cross-linking by the amount of quencher base added.
Extension of 248 nm Monte Carlo, mesoscale models to 193 nm platforms
Current minimum feature sizes in the microelectronics industry dictate that molecular interactions affect process fidelity and produce stochastic excursions like line edge roughness (LER). The composition of future resists is still unknown at this point, and so simulation of various resist platforms should provide useful information about resist design that minimizes LER. In the past, researchers developed a mesoscale model for exploring representative 248 nm resist systems through dynamic Monte Carlo methods and adaptation of critical ionization theory. This molecular modeling uses fundamental interaction energies combined with a Metropolis algorithm to model the full lithographic process (spin coat, PAB, exposure, PEB, and development). Application of this model to 193 nm platforms allows for comparison between 248 and 193 nm resist systems based on molecular interactions. This paper discusses the fundamental modifications involved in adapting the mesoscale model to a 193 nm platform and investigates how this new model predicts well-understood lithographic phenomena including the relationship between LER and aerial image, the relationship between LER and resist components, and the impact of non-uniform PAG distribution in the resist film. Limited comparisons between the 193 nm system and an analogous 248 nm platform will be discussed.
Stochastic modeling of photoresist development in 2D and 3D
The concepts of dynamical scaling in the study of kinetic roughness are applied to the problem of photoresist development. Uniform, open-frame exposure and development of photoresist corresponds to the problem of quenched noise and the etching of random disordered media and is expected to fall in the Kadar-Parisi-Zhang (KPZ) universality class for the case of fast development. To verify this expectation, simulations of photoresist development in 1+1 and 2+1 dimensions were carried out with various amounts of random, uncorrelated noise added to an otherwise uniform development rate. The resulting roughness exponent α and the growth exponent β were found to match the KPZ values nearly exactly. The impact of the magnitude of the underlying development randomness on the values of these exponents was also determined and an empirical expression for predicting the kinetic roughness over a wide range of conditions is presented.
Simulation study of directed self-assembly for 10-nm pattern formation
Sang-Kon Kim, Hye-Keun Oh, Young-Dae Jung, et al.
Since the top-down approaches, such as the extremely ultraviolet (EUV) technique and the high-index fluid-based immersion ArF lithography, may be cover one or two generations, these lithography technology are getting more severe for the feature size scaling down to sub-10 nm. The directed self-assembly technology of block copolymers is one of the candidates for next-generation lithography. The process simulation can help to solve the easy process, the low critical dimension (CD) variation, the low edge roughness, the high throughput, and the low number density of pattern defects for the directed self-assembly technology. In this paper, a directed self-assembly lithography process of block copolymers is modeled and simulated in molecular scale. The sub-10 nm patterns can be formed by using the precise pattern placement of conventional "top-down" lithography methods with the well-defined nanostructures and self-healing properties of "bottom-up" block copolymer self-assembly. For 35-nm pattern formation, simulation results are similar with experiment results.
Theoretical analysis of energy dissipation of electron in the resists II
In the electron-beam lithography and extreme-ultraviolet lithography, electrons above ionization energy collide with resist materials and bring out the ionization and electronic excitations of resist molecules. The energy degradation mechanism of the incident primary electrons in resists plays the important role to determine the resist properties. The Monte Carlo simulation using the binary-encounter-collision cross section was performed to determine the electron trajectory in resist materials. The distributions of product species had an edge around 500 eV of incident energy of primary electron. The product distributions of ions and singlets became the narrower in electronic excited states with decrease in incident energy and with increase of the electron generations. Main product of triplets was in the lowest excited state. Triplets had the longer scattering length than singlets and ions.
Resist Fundamentals
icon_mobile_dropdown
Methods to explore and prevent pattern collapse in thin film lithography
Pattern collapse is becoming a critical issue as integrated circuit fabrication continues to advance towards the 32 nm node and below. Though line edge roughness and resolution are certainly important in moving forward, pattern collapse by both deformation and adhesion failure must be addressed. In this work, a post-development strategy to reduce pattern collapse by bending was developed whereby the hydroxyl functional groups on the surface of the resist were crosslinked via a dicarboxylic acid using carbodiimide chemistry. The pattern collapse of a hydroxystyrene-based, positive tone resist was then studied before and after the application of the reactive rinse. SEM analysis of the samples showed that application of the reactive rinse resulted in a clear increase in the printing capabilities of the resist, as the photoresist lines could be printed with smaller space widths corresponding to higher stresses after the rinse treatment.
A method to characterize pattern density effects: chemical flare and develop loading
Linda K. Sundberg, Gregory M. Wallraff, Alexander M. Friz, et al.
Many recent publications have highlighted pattern density effects as a problem in both electron-beam and optical lithography. These effects are manifested as a systematic variation in critical dimension as a function of position on the wafer. It is becoming an increasing problem as the pattern density and diminishing critical dimensions are needed for production nodes 32nm and beyond. One potential source of pattern density effects is acid volatility, where acid is presumed to redeposit during exposure or bake; here we refer to this effect as chemical flare. Another source of density effects is develop loading which refers to the impact of local depletion of developer in highly exposed regions. Both develop loading and chemical flare can cause deviations in feature size that may be difficult to correct for by adjustment of the exposure process. Here we describe a method that allows the detrimental effects of chemical flare and develop loading to be separately characterized. The method makes use of arrays of 248 nm exposure sites and a controlled develop process within a custom liquid flowcell; this combination enables a systematic study of these effects.
Is the resist sidewall after development isotropic or anisotropic? effects of resist sidewall morphology on LER reduction and transfer during etching
Vassilios Constantoudis, George Kokkoris, Evangelos Gogolides, et al.
Measurements of the sidewall morphology of commercial resist lines (3D Line Edge Roughness) after lithography and before etching by CD-AFM and SEM show that they exhibit anisotropy in the form of striations perpendicular to line direction. When this anisotropy of post-litho resist sidewalls is included in the models for trimming and pattern transfer proposed in [V. Constantoudis et al., Proc SPIE 7273, 72732J (2009)], then the models predict the beneficial role of trimming process in LER reduction during pattern transfer in agreement with experimental results. Furthermore, experimental and simulation studies show that the CD-AFM measurements of the 3D Line Width Roughness may overestimate the correlation length. Taking into account this finding in the model for trimming, we found that model predictions approach further the experimental results.
Double Patterning and Double Exposure II
icon_mobile_dropdown
Inactivation technology for pitch doubling lithography
Jun Hatakeyama, Masaki Ohashi, Youichi Ohsawa, et al.
We propose novel inactivation technologies which improve resolution. Base generators have been developed, which inactivate acid by thermal treatment or exposure. This thermal inactivation technology realizes simple litho-inactivation-litho-etch (LILE) process with good fidelity. After 1st patterning, acid is inactivated by amine released from the thermal base generator under low temperature baking of less than 150°C. Just adding one simple low temperature bake process, LILE has two advantages; i) keeping high throughput, and ii) avoidance of pattern deformation. 32nm line and space (l&s) pattern is successfully delineated. The inactivation technology has been expanded to frequency doubling patterning. Photo base generator (PBG) is used to inactivate acid generated by exposure. Acid concentration in both of low and high exposed area is precisely controlled by base generation efficiency of PBG. The dual tone resist successfully delineates 32.5nm l&s pattern using 65nm l&s mask patterns with single exposure.
Process feasibility investigation of freezing free litho-litho-etch process for below 32nm hp
Tsuyoshi Nakamura, Masaru Takeshita, Jiro Yokoya, et al.
Double patterning with 193nm immersion lithography becomes to most promising candidate for 32nm half pitch node and possibly below 32nm half pitch. Several double patterning methods have been suggested such as LELE (Litho-Etch -Litho-Etch), LLE (Litho-Litho-Etch) and Spacer defined process, however, LLE process is pointed out as low cost double patterning technique because of its simplicity. But LLE process needs new method to maintain 1st lithography pattern and additional freezing processes have been suggested In SPIE Advanced Lithography 2009, freezing free "Posi/Posi" process was introduced as candidate for LLE process. This is LLE process that uses two different positive tone photoresists without freezing process. The resist for 2nd lithography contains a specific solvent to prevent the mixing of two resists and there is an activation energy gap between 1st and 2nd resists to maintain 1st lithography pattern. The double patterning can be successfully processed by these specific resists without freezing process. In this study, the performance of this freezing free "Posi/Posi" process is investigated for pitch splitting pattern using 1.35 NA exposure tool. The imaging results including CD control capability, and etching results are collected for 32nm half pitch and below. Additionally the two-dimensional pattern imaging is also obtained for 76nm minimum pitch.
Evolution of thermal cure resist for double patterning applications
To extend immersion based lithography to below 32nm half pitch, the implementation of Double-Patterning lithography requires that cost be contained by as many means possible. In addition to CDU and defectivity, simplifying the process flow is a viable approach to helping accomplish cost containment. For Litho-Litho-Etch processes, this entails replacing the flows that require spin-on chemical freeze with a solely thermally cured resist approach, thereby eliminating materials and several process steps from the flow. As part of ongoing efforts to allow Double-Patterning techniques to further scale semiconductor devices, we use DETO (Double-Expose-Track-Optimized) methods for producing pitch-split patterns capable of supporting 16 and 11-nm node semiconductor devices. In this paper we present the assessment from a series of thermal cure double-patterning resist systems; with a focus on process latitude, CDU, and resolution limit.
Process characterization of pitch-split resist materials for application at 16nm node
Lithographic scaling beyond the 22 nm node requires double patterning techniques to achieve pitch values below 80nm. The semiconductor industry is focusing on the development of several process techniques including track-only lithographic processing methods in order to reduce cost, cycle time and defects. Initial efforts for track-only double expose processes have relied on the use of chemical freeze materials to prevent inter-mixing of resists, and also by means of thermal curable materials. These two techniques may be complementary, in the sense that a chemical freeze may be very robust for protection of exposed regions, while thermal cure systems may provide strong protection of large unexposed areas. We will describe our results with mainly the thermal-cure double patterning resist materials, and the application of these materials to the fabrication of sub-80 nm pitch semiconductor structures. We will summarize the process window and defect capability of these materials, for both line/space and via applications.
Simplified double patterning process with non-topcoat self-freezing resist
Tomohisa Fujisawa, Yusuke Anno, Masafumi Hori, et al.
Double patterning is one of the most promising techniques for sub-30nm half pitch device manufacturing. Several techniques such as dual-trench process (litho-etch-litho-etch: LELE) and dual-line process (litho-litho-etch : LLE) have been reported. Between them, the dual-line process attracts a great deal of attention due to its higher throughput. The key issue in the dual-line process is preventing damage of the first resist pattern during the second lithography process. As a solution, we have developed a process to alleviate this issue using a chemical material called "freezing agent." More recently, we have further simplified the process by developing a simple freezing technique called "self-freezing" or "thermal-freezing." The "self-freezing resist" material can accomplish the freezing process by applying only one bake to the resulting first pattern. In addition, our self-freezing resist also has added water shedding properties to meet non-topcoat (non-TC) immersion resist requirements, which further simplifies the process and materials. In this study, imaging results of Non-TC self-freezing resist including critical dimension uniformity, defectivity and processing properties of the resulting patterns is shown.
Controlling 2D aspect ratio of elliptical contact level interconnects utilizing spin-on and reactive ion etch critical dimension shrink for the 22-nm node
Andrew Metz, Shannon Dunn, Dave Hetzer, et al.
Litho-Etch-Litho-Etch double patterning requires aggressive shrink of each sub-pattern's critical dimensions to enable inter-digitation and pitch doubling. Application of this double patterning technique to elliptical contacts introduces a new constraint to the CD shrink processes as controlling the 2-D aspect ratio of elliptical contacts is critical for both device performance and yield. The impact of a track-applied chemical shrink and reactive ion etch [RIE] shrink processes to pre/post RIE 2-D aspect ratios [2-D AR] have been evaluated. A methodology for controlling 2-D aspect ratios with an aggressive CD shrink target is described using a 2:1 aspect ratio test pattern resulting in the successful fabrication of 2:1 aspect ratio bottom CD contacts with 65% bias from the lithographic CD.
Simulation of Lithographic Phenomena
icon_mobile_dropdown
Roughness characterization in the frequency domain and LWR mitigation with post-litho processes
In this paper a previously developed Line Width Roughness (LWR) analysis techniques is used to characterize postlitho process LWR reduction methods in the frequency domain. Post-litho processes are likely to be required to reach the ITRS 3σLWR target for the 32nm and 22nm half pitch technological node. The aim of these lithographic processes is to mitigate the roughness of the resist and ultimately the etched patterns without a dramatic change in Critical Dimensions (CD). Various techniques are discussed: in-track chemical processes, ion beam sputtering, thermal and plasma treatments as dedicated etch-step. Each technique manifests a characteristic smoothing in the frequency domain reducing the LWR up to 35%. Exploiting LWR reduction at the different frequencies, and combining these techniques, our target is to determine whether 50% overall LWR reduction is feasible.
Line-edge roughness and the ultimate limits of lithography
In this paper, a stochastic modeling approach is used to predict the results of the exposure and post-exposure bake of a chemically amplified photoresist. The statistics of photon shot noise, chemical concentration, exposure, reaction-diffusion, and amplification are derived. The result, though preliminary, is a prediction of the standard deviation of the final deprotection level of polymer molecules in the resist using simple, analytical expressions. Combining this result with ongoing work to characterize the stochastics of resist development will eventually lead to a full model of the line-edge roughness of a resist feature. The current model is used to elucidate the impact of acid diffusion on line-edge roughness.
Meso-scale simulation of the line-edge structure based on polymer chains in the developing and rinse process
Hiroshi Morita, Masao Doi
To study the line edge roughness, we developed the simulation method of formation process of line edge based on the meso-scale simulation of dissipative particle dynamics (DPD) method. We modeled the development and rinse processes based on the coarse-grained polymer model. It is important that the block copolymer in which the soluble and insoluble blocks are bonded exists at the line edge. Though the soluble part of this block copolymer is stretched out in the developing process, it becomes shrunk in the rinse process. The shrunk polymers contribute to the formation of line edge, and LER was much influenced by these polymers. These simulations will represent the formation process of line edge based on the polymer chain dynamics.
Particle generation during photoresist dissolution
A lattice-type Monte Carlo based mesoscale model and simulation of the lithography process has been described previously [1]. The model includes the spin coating, post apply bake, exposure, post exposure bake and development steps. This simulation has been adapted to study the insoluble particle generation that arises from statistically improbable events. These events occur when there is a connected pathway of soluble material that envelops a volume of insoluble material due to fluctuations in the deprotection profile that occur during the post exposure bake [2]. Development erodes the insoluble material into the developer stream as an insoluble particle. This process may produce a cavity on the line edge that can be far larger than a single polymer molecule. The insoluble particles generated may coalesce in developer to form large aggregates of insoluble material that ultimately deposit on the wafer surface and the tooling. The recent modifications made in mesoscale models for the PEB and dissolution steps, which have enabled this study are briefly described. An algorithm that was used for particle detection in the current study is also discussed. The effect of the resist formulation and the different lithographic steps, namely, exposure, post exposure bake and development, on the extent of particle generation is analyzed. These simulations can be used to set process variables to minimize the extent of particle generation.
Predictive linewidth roughness and CDU simulation using a calibrated physical stochastic resist model
A recently developed stochastic resist model, implemented in the PROLITH X3.1 lithography simulation tool, is fitted to experimental data for a commercially available immersion ArF photoresist, EPIC 2013 (Dow Electronic Materials). Calibration is performed using the mean CD and LWR values through focus and dose for three line/space features of varying pitch (dense, semi-dense and isolated). An unweighted Root Mean Squared Error (RMSE) of approximately 1.6 nm is observed when the calibrated model is compared to the experimental data. Although the model is calibrated only to mean CD and LWR values, it is able to accurately predict highly accurate CDU distributions at fixed focus and dose conditions for 1D and 2D (line end shortening) pattern. It is also shown how the stochastic model can be used to describe the bridging behavior often observed at marginal focus and exposure conditions.
Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography
Anti-reflection coatings are commonly used in advanced photolithography in order to minimize CD variability caused by deviations in resist thickness and in the films and structures comprising the substrate. For a planar film stack, reflectivity calculations are a critical tool for optimization of parameters such as coating thicknesses and optical properties of anti-reflection coatings (TARCs and BARCs). However, with the exception of the first lithography layer, all layers on a production wafer have some degree of topography, so that reflectivity calculations for a planar film stack are not strictly correct. In this study, we evaluate three different reflectivity metrics that can be applied to wafers with topography: reflectivity for simplified planar film stacks, standing wave amplitude, and reflected diffraction efficiencies. Each of these metrics has a simple, physical meaning that will be described in detail in the presentation. We then evaluate how well these reflectivity metrics correlate with CD variability for two different example lithography steps: implant layers with STI (where a developable BARC might be used), and Litho-Etch-Litho-Etch style double patterning.
Resist Materials Digest
icon_mobile_dropdown
Continuous evolution of lithographic films through process steps: an example with 193 chemically amplified resists
Chemically Amplified resists are complex systems. If the main mechanisms implied have already been described, the challenge to even better control and model these formulations remains important as performance requirements become more and more stringent and critical dimensions get smaller and smaller. This paper tries to assess and deconvolute some of the main potential mechanisms involved during the process of a 193 nm chemically amplified resist, before correlating them with the final lithographic results obtained. A formulation was selected in order to offer a large range of film physical properties, thus allowing the resist film to switch from non-annealing to annealing conditions. The use of thermal analysis as the main characterization technique allowed correlation between the variations in physico-chemical properties and process conditions. This investigation also included a study of the behavior of some additives during bake steps. In so doing, it became possible to correlate the variations of the resist properties under different bake conditions to the changes in its final lithographic performance, i.e. contrast, sensitivity and line edge roughness.
Topcoat-less resist process for 2Xnm node devices
Changil Oh, Junghyung Lee, Junggun Heo, et al.
In recent years ArF immersion lithography in memory devices, topcoat process has become baseline process in mass production in spite of its additional process steps and high cost-of-ownership. In order to overcome low process efficiency of topcoat process, high throughput scanner with higher scan speed and advanced rinse modules for decreasing defectivity are under development. Topcoat-less resist is also upgraded gradually which contains hydrophobic additives enables the extreme patterning without topcoat and high speed scanning. But current topcoat-less process has not matured yet for the dark-field mask compared to bright-field because of the blob defect in unexposed area. To minimizing blob defect level both material and process sequence should be optimized effectively. The authors have focused on blob defect and litho performance of topcoat-less resist process for dark field application in 2Xnm node devices.