Proceedings Volume 7273

Advances in Resist Materials and Processing Technology XXVI

cover
Proceedings Volume 7273

Advances in Resist Materials and Processing Technology XXVI

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 30 March 2009
Contents: 21 Sessions, 139 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2009
Volume Number: 7273

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 7273
  • Double Patterning and Double Exposure I
  • Double Patterning and Double Exposure II
  • Poster Session: Novel Materials, Processes, and Applications
  • Poster Session: ARCs and Multilayer Processes
  • Poster Session: Double Patterning and Double Exposure
  • Poster Session: EUV Resist Materials and Processes
  • Poster Session: Immersion Lithography Materials and Processes
  • Poster Session: LER/LWR Behavior in Resists
  • Poster Session: Molecular Resists
  • Poster Session: Resist Fundamentals
  • Poster Session: Simulation of Resist Processes
  • Immersion Lithography Materials and Processes
  • Molecular Resists
  • Novel Materials, Processes, and Applications I
  • ARCs and Multilayer Processes
  • EUV Resist Materials and Processes
  • Resist Fundamentals
  • Simulation of Resist Processes
  • LER/LWR Behavior in Resists
  • Novel Materials, Processes, and Applications II
Front Matter: Volume 7273
icon_mobile_dropdown
Front Matter: Volume 7273
This PDF file contains the front matter associated with SPIE Proceedings Volume 7273, including the Title Page, Copyright information, Table of Contents, Introduction (if any), and the Conference Committee listing.
Double Patterning and Double Exposure I
icon_mobile_dropdown
Newly developed positive tone resists for Posi/Posi double patterning process
Tsuyoshi Nakamura, Masaru Takeshita, Satoshi Maemori, et al.
Double patterning with 193nm immersion lithography is generally recognized as a candidate for 32nm hp node and possibly beyond with recent progress. LLE (Litho-Litho-Etch) could be good candidate for double patterning method because of its simplicity but the good solution hasn't been proposed yet. In last year, freezing free Posi/Nega process was introduced as candidate for LLE process. But that had an issue that the resolution of negative tone resist was little bit poor for 1L/3S pattern compared with positive tone. Thus it's better to choose positive tone as 2nd resist for this reason. And then Posi/Posi process without any freezing material has been investigated and successfully established to image double patterning. Posi/Posi process without any freezing material has successfully achieved to image below 32nm hp. Furthermore contact hole imaging was succeeded by using cross-line method and image reverse method. We present the productivity study of freezing free Posi/Posi process on Cross-lined contact hole, critical resolution for pitch splitting and reverse imaging for contact hole.
Engine for characterization of defects, overlay, and critical dimension control for double exposure processes for advanced logic nodes
As our ability to scale lithographic dimensions via reduction of actinic wavelength and increase of numerical aperture (NA) comes to an end, we need to find alternative methods of increasing pattern density. Double-Patterning techniques have attracted widespread interest for enabling further scaling of semiconductor devices. We have developed DE2 (develop/etch/develop/etch) and DETO (Double-Expose-Track-Optimized) methods for producing pitch-split patterns capable of supporting 16 and 11-nm node semiconductor devices. The IBM Alliance has established a DETO baseline in collaboration with KT, TEL, ASML and JSR to evaluate commercially available resist-on-resist systems. In this paper we will describe our automated engine for characterizing defectivity, line width and overlay performance for our DETO process.
Materials for single-etch double patterning process: surface curing agent and thermal cure resist
Young C. Bae, Yi Liu, Thomas Cardolaccia, et al.
Two different pattern curing techniques were developed to stabilize first lithographic images for the single-etch double patterning process. The first method uses a surface curing agent (SCA) that is coated on top of the patterned surface to form a protective coating layer during the curing bake process. It was found that the surface curing process with SCA offers minimum CD changes before and after the double patterning process. Virtually no CD change was observed with the first lithographic images at various curing bake temperatures ranging from 120 ~160°C indicating the curing reaction is limited on the patterned surface. The second method uses a thermal cure resist (TCR) that is a special 193nm photoresist with a crosslinkable functional group to form an insoluble network upon heating at higher temperature. A single-step curing process of the first lithographic images was achieved using TCR by baking the patterned images at 180°C for 60sec. A cross-line contact hole double patterning method was used to evaluate these two different curing techniques and both SCA and TCR successfully demonstrated their capability to print 45nm contact holes with excellent CD uniformity in immersion lithography (1.35NA) with a 45nm half pitch mask. It was also confirmed that both SCA and TCR can be extended to the top-coat free immersion double patterning process using an embedded barrier layer technique.
Double-exposure materials for pitch division with 193nm lithography: requirements, results
Robert Bristol, David Shykind, Sungwon Kim, et al.
We present the results of both theoretical and experimental investigations of materials for application either as a reversible Contrast Enhancement Layer (rCEL) or a Two-Stage PAG. The purpose of these materials is to enable Litho- Litho-Etch (LLE) patterning for Pitch Division (PD) at the 16nm logic node (2013 Manufacturing). For the rCEL, we find from modeling using an E-M solver that such a material must posses a bleaching capability equivalent to a Dill A parameter of greater than 100. This is at least a factor of ten greater than that achieved so far at 193nm by any usable organic material we have tested. In the case of the Two-Stage PAG, analytical and lithographic modeling yields a usable material process window, in terms of reversibility and two-photon vs. one-photon acid production rates (branching ratio). One class of materials, based on the cycloadduct of a tethered pair of anthracenes, has shown promise under testing at 193nm in acetonitrile. Sufficient reversibility without acid production, enabled by near-UV exposure, has been achieved. Acid production as a function of dose shows a clear quadratic component, consistent with a branching ratio greater than 1. The experimental data also supports a acid contrast value of approximately 0.05 that could in principle be obtained with this molecule under a pitch division double-exposure scenario.
Topcoat-free ArF negative tone resist
Tomoyuki Ando, Sho Abe, Ryoichi Takasu, et al.
We report the development and applications of ArF negative tone resist for ArF immersion lithography. New developed topcoat-free ArF negative tone resists has sufficient water repellent capability that is applicable to over 700mm/s scan speed water immersion exposure tool and suitable leaching suppression capability within reaching specification of exposure tool. We demonstrated lithographic performance of topcoat-free negative tone resist utilizing 1.07NA immersion tool and confirmed the lithographic window of 55nm 1L1S and 50nm 1L1S. And 27.4nm of isolated space pattern at over dose condition of 55nm 1L1S patterning. This result shows the possibility of topcoat free negative tone resist for dual trench based litho-etch-litho-etch double patterning. Additionally we have demonstrated contact hole patterning utilizing double exposure and generated 65nm gridded contact hole patterns utilizing 0.92NA ArF scanner with applicable pattern profiles.
Double Patterning and Double Exposure II
icon_mobile_dropdown
Correlation of experimental and simulated cure-induced photoresist distortions in double patterning
Thomas I. Wallow, Mahidhar Rayasam, Masanori Yamaguchi, et al.
Numerous alternate processes are under industry-wide evaluation as simplifications to current double patterning methods. Reduction in process complexity and cost may be achieved by use of photoresist stabilization methods that eliminate one etch step by allowing a second resist to be patterned over the first resist pattern. Examples of stabilization methods using numerous curing processes have been reported. At least some resist shrinkage during stabilization appears to be generally observed for these methods. We evaluate the link between volumetric shrinkage and threedimensional pattern distortion for a variety of resist geometries using experimental and simulation-based methods. Experimental resists designed for double patterning using 172 nm UV resist curing were evaluated and showed shrinkage of less than 10 percent. Several simplified metrology approaches for measuring shrinkage as well as inferring shrinkage distortions were assessed. For top-down SEM measurements, elbow inner corner rounding measurements appear to be a usefully robust method for estimating shrinkage distortion. Finite element analysis of resist structures yields shrinkage distortions that are in good qualitative and quantitative agreement with experiments, and thus appears to provide a provisionally general and useful method for predicting pattern distortions that arise during cure-based resist stabilization methods used in double imaging.
Fine trench patterns with double patterning and trench shrink technology
Satoru Shimura, Masato Kushibiki, Tetsu Kawasaki, et al.
As part of the trend toward finer semiconductor design rules, studies have begun in the field of semiconductor lithography technology toward the 32nm-node and 22nm-node generations. The development of various types of fine-processing technologies is underway and particular progress is being made in the development of high numerical aperture (NA) technology and extreme ultraviolet (EUV) lithography for 32nm processes and beyond. At present, however, many technical issues are still being reported. One problem of special concern relates to the forming of fine, high-density trench patterns. Here, the required process margin is difficult to achieve by existing fine-processing techniques compared to lines and space patterns, and it is predicted that this problem could be a factor in lower yields caused by pattern defects. To solve this problem, studies have begun on double patterning technology and various shrink technologies. To place the joint use of these technologies on the road toward genuine mass-production applications, it is becoming increasingly important that comprehensive efforts be made to improving the basic performance of exposure-equipment and single lithography processes, to improving the alignment accuracy in double patterning, and to extract problem points in critical-dimension (CD) and defect control toward an exposure-equipment/ coater/developer cluster tool. In the face of these technical issues, NIKON Corporation and Tokyo Electron Ltd.(TEL) have joined forces to study technology for forming fine, high-density trench patterns and have successfully developed a fine, high-density trench-pattern formation process through the joint use of double patterning technology and original Chemical Vapor Deposition (CVD)-shrink technology. This paper reports on the results of a comprehensive process evaluation of double patterning technology using lithography clusters, CVD tools and etching tools.
Double patterning process with freezing technique
Goji Wakamatsu, Yusuke Anno, Masafumi Hori, et al.
Double patterning is one of the most promising lithography techniques for sub-40nm half-pitch device manufacturing. Several variations of double patterning processes have been reported by research groups, including a dual-trench process (litho-etch-litho-etch) and a dual-line process (litho-litho-etch). Between these, the dual-line process attracts the most attention because it is a simple process and achieves high throughput. However, there is concern that the second lithography process damages the first lithography patterns in the dual-line process. Therefore, new technology must be developed to keep the configuration of first lithography patterns during the second lithography step, and to make this patterning process practical. Recently, we succeeded in forming 32 nm half-pitch LS lithography patterns by the introduction of a new "freezing" step. This step involves covering the first lithography pattern with a chemical freezing material to prevent damage by the second lithography process. This process, the so called "litho-freezing-litho-etch" process, will achieve higher throughput and lower cost compared to litho-etch-litho-etch. In this study, the performance of this chemical freezing double patterning process is investigated for various applications using a hyper NA immersion exposure tool. Imaging results including process window and etching results of sub-30nm half-pitch LS and 40nm half-pitch CH with this freezing process are shown. Additionally, items such as critical dimension uniformity and defect inspection using the freezing process were reviewed.
Development of materials and processes for negative tone development toward 32-nm node 193-nm immersion double-patterning process
A new negative tone imaging with application of new developer to conventional ArF immersion resist materials is proposed to form narrow trench and contact hole patterns, which is promising for double patterning process, since it is difficult to obtain sufficient optical image contrast to print narrow trench or contact hole below 60 nm pattern size with positive tone imaging. No swelling property in the developing step realized low LWR number at 32 nm trench patterns. Uniform de-protection ratio through the depth of resist film reduced cuspy resist pattern profile causing micro-bridges at narrow trench pattern, and low frequency LWR number down to 2.4 nm. High resolution potential was demonstrated with 38 nm dense S/L under 1.35 NA immersion exposure. Better CD uniformity and LWR number of trench pattern were obtained by negative tone development (NTD) process with comparison to positive tone development (PTD) process. Excellent defect density of 0.02 counts/cm2 was obtained for 75 nm 1:1 S/L by combination of 0.75 NA dry exposure and NTD process combination. NTD process parameters impacts to defectivity were studied.
Photoresist stabilization for double patterning using 172 nm photoresist curing
We describe progress in low-k1 factor double patterning using 172 nm ultraviolet (UV) curing as a resist stabilization method. Factors that have contributed to enhanced patterning capability include a) resists design and optimization for both patterning and UV curing; b) use of unique R&D tooling capabilities to rapidly identify and optimize key process variables; c) development of simple process metrics for characterizing double patterning process quality, and d) use of 172 nm-resistant antireflective materials. A designed resist, XP-7600A, was selected for detailed evaluation based on superior patterning and curing behavior (less than 10 percent volumetric shrinkage during cure.) Process optimization on 172 nm damage-prone antireflective coatings produced 60 nm cross-grid contact holes at 0.93 NA (litho k1 = 0.28) with good uniformity when an ancillary 150 °C post-UV bake was used. Additional optimization on improved antireflective coatings yielded superior process latitude (>20 percent 172 nm dose latitude) and also demonstrated that a UV-cure-only resist stabilization process flow may be attainable. Under optimized conditions, highly uniform 60 nm half-pitch cross-grid contacts with cross-sectional area uniformity (1σ) of approximately 200 nm2 (5 percent) are produced at 135 nm resist film thickness.
Poster Session: Novel Materials, Processes, and Applications
icon_mobile_dropdown
DUV-assisted e-beam resist process
Wei-Su Chen, Yen-Cheng Li, Ming-Jinn Tsai
Electron beam direct writing (EBDW) resist process is slow in throughput but has the highest linewidth resolution among all the lithography techniques. However the e-beam energy is high enough to cut off the polymer chain of DUV chemically amplified resist (CAR) and thus in this paper, DUV-assisted e-beam resist process is studied to increase throughput. The C/H critical dimension (CD) with e-beam exposure only increases for larger dose. E-beam dose-to-size of C/H is found to be independent on pattern density. The smallest CD resolved is 30.2 nm for 30 nm designed CD. DUV pre-exposed resist resolves the same C/H CD size with lower e-beam dose. Largest e-beam dose reduction with DUV-assistance is ~40% for 50 and 70 nm designed CD of C/Hs. BARC coating and multiple DUV pre-exposures with variable depths are studied for obtaining a vertical profile like that exposed by e-beam only.
Improved CD uniformity for chemical shrink patterning
Lu Chen, Nikolaos Bekiaris, Timothy Michaelson, et al.
There is a limit to the minimum feature size that can be printed using current lithographic techniques. For that reason, engineers often employ various shrink methods in production to reduce the size of features generated by lithography. One such technique is the application of a shrink assisted film for enhanced resolution (SAFIER). In such a process, a SAFIER chemical is coated onto a patterned photoresist and baked. During the bake, the resist expands, and hence, the patterned spaces in the resist shrink. The shrink process, however, does not necessarily occur uniformly across the wafer, and some critical dimension (CD) non-uniformity can be introduced during this step. This study investigates the efficacy of using an intentionally biased SAFIER bake temperature profile to compensate for some of the CD nonuniformities introduced during the SAFIER process. In the baseline case, patterned wafers underwent a standard SAFIER process using a thermally uniform bake. The bake temperature of the SAFIER bake was then biased to cancel out some of the shrink induced CD non-uniformity. Wafers processed through the biased temperature SAFIER bake showed a 20% improvement in post-SAFIER (critical dimension uniformity) CDU. For comparison, a biased post exposure bake (PEB) temperature was used to create wafers with a non-uniform starting CD distribution designed to cancel out some of the CD non-uniformity from the SAFIER process. When these wafers were processed through a uniform temperature SAFIER process, a 54% improvement in post SAFIER CDU was observed over the baseline case.
Fabrication of 22-nm poly-silicon gate using resist shrink technology
Exposure wave length has been changing rapidly with the shrink of design rule. In 32nm node and beyond, it is predicted that keeping good resolution performance of resist pattern with small dimension and high density will be more difficult due to the drop of optical contrast in 193nm immersion lithography. EUV lithography and Double Patterning using 193nm immersion lithography are being investigated as alternative technologies, but it is currently difficult to keep enough process margins in device fabrication. Resist slimming technology by dry process and exposure process is also being investigated based on these technical backgrounds but many technical challenges have been reported. We started to develop our original resist slimming technology in track process with the aim of overcoming technical challenges and cost reduction, which is one of main challenges in double pattering. In this paper, we report the basic characteristics of our resist slimming process (controllability of CD shrink, CD uniformity within wafer, LWR, and total process margin) and also pattern transfer performance of CD and LWR after dry etching in order to apply this slimming technology to Double Pattering.
Properties of the novel deprotecting unit for next-generation ArF resist polymer
Akinori Shibuya, Shuhei Yamaguchi, Yuko Yoshida, et al.
Viewpoint of lithographic performance, the chemically amplified resist (CAR) is still promising candidates for the 32 nm node device manufacturing or below. However, CAR has an issue of low exposure latitude (EL) in the above node. To overcome the issue, it is important to control the acid diffusion at de-protecting process of the lithography system. We focused on a monomer unit that is able to control the acid diffusion during the post exposure bake (PEB) process. A novel secondary ester type methacrylate monomer was designed and synthesized as the unit that generates acid trapping ability according to the de-protecting reaction. The de-protecting reaction proceeded at general condition, and the acid trapping ability was confirmed by the model reaction in the solution. The unit must be useful as the adjusting unit of the acid diffusion. We also investigated the copolymers having this adjusting unit and the typical tertiary ester de-protecting unit for ArF resist main polymer. We will discuss the feature of the polymer including the de-protecting unit and its applications for next generation ArF chemically amplified resist.
Chemically amplified hybrid resist platform for i-line applications
Medhat Toukhy, Margareta Paunescu, Zachary Bogusz, et al.
Three polymer platforms based on acid labile blocked novolaks were investigated. The first, blended with Polyhydroxystyrene/ t-butylacrylate (PHSC), produced incompatible blends for the most part. Compatible blends were obtained for the second platform by reacting novolak and PHSC together with alkylvinylether, which was optimized for resist performance on Cu substrate at and below 10 μm film thickness. The third platform, based on a modified novolak resin, achieved greater than 5 aspect ratio in 25 μm thick films.
Criteria for success in e-beam resists
A. E. Zweber, T. Komizo, J. Levin, et al.
Several issues, including resolution, etch resistance, chromium-resist interface adhesion, and sensitivity with post coat delay, complicate the selection of photoresists for 32nm photomask development from the broad pool of candidates. These issues and others are addressed after an initial screening of critical resist characteristics to reduce the number of contenders. A balanced initial screening of photoresists for 32nm photomasks is presented including global and local critical dimension uniformity, line edge roughness, and resolution of low and high sensitivity positive and negative tone photoresists, relative to exposure duration. The multi-dimensional assessment of candidate resists for photomask applications was summarized with emphasis on the process of selection.
0.30k1 CH delineation with novel image reversal materials
J. Hatakeyama, K. Katayama, T. Yoshihara, et al.
Resolution enhancement by novel image reversal (RENOIR) process and materials are studied for shrinkage of hole size and pitch. The process approach is based on formation of pillar pattern and its conversion to hole pattern with wet development (Fig.1). Fine pillar arrays were imaged by combination of high-resolution positive tone resist and X-Y double line exposure with hyper NA immersion lithography. To achieve the reversal characteristics, we have developed the novel resist material, which becomes of solvent insoluble and developer soluble after pillar patterning. The material realizes the solubility switch just with hard bake treatment. Reversal film material is coated over the pillar patterns, and subsequent development process converts pillars into hole patterns. 30nm size 96nm pitch hole was delineated with 150nm DoF and 10% exposure latitude by 1.2NA immersion exposure.
KrF resists and process for implant layers at advanced nodes
Hung-Chin Huang, Yong-Fa Huang, Steven Wu, et al.
The minimum design rule of device patterns for LSI implant layers has been shrinking constantly according to the industry requirements. Wavelength has been shortened and numerical aperture (NA) of the scanner has been enlarged to catch up with the required shrinkage. Implant layers are unique because the resist is nearly always used without an antireflective coating, and as a result, the resist is in direct contact with a multitude of substrate materials. In implant applications, the wafer topography sacrifices some of the lithographic performance in order to obtain adequate features on both top and bottom of the topography. KrF lithography has applied to most of the ion implant levels at today's advanced nodes. To solve the several issues in ion implant process, New KrF resist was designed specifically for the lithographic / implantation process requirements.
Process-induced bias: a study of resist design, device node, illumination conditions, and process implications
Critical dimension uniformity (CDU) has both across field and across wafer components. CD error generated by across wafer etching non-uniformity and other process variations can have a significant impact on CDU. To correct these across wafer systematic variations, compensation by exposure dose and/or post exposure bake (PEB) temperature have been proposed. These compensation strategies often focus on a specific structure without evaluating how process compensation impacts the CDU of all structures to be printed in a given design. In one previous study limited to a single resist and minimal coater/developer and scanner variations, the authors evaluated the relative merits of across wafer dose and PEB temperature compensation on the process induced CD bias and CDU. For the process studied, it was found that using PEB temperature to control CD across wafer was preferable to using dose compensation. In another previous study, the impact of resist design was explored to understand how resist design, as well as coater/developer and scanner processing, impact process induced bias (PIB). The previous PIB studies were limited to a single illumination case and explore the effect of PIB on only L/S structures. It is the goal of this work to understand additionally how illumination design and mask design, as well as resist design and coater/developer and scanner processing, impact process induced bias (PIB)/OPC integrity.
Microbridge and e-test opens defectivity reduction via improved filtration of photolithography fluids
Reduced tolerance for defectivity is a well-documented consequence of the semiconductor industry's constant progress toward smaller IC device dimensions. Among all manufacturing functional areas, photolithography is arguably the most sensitive to process defects, and thus, strongly influences manufacturing process yield. Microbridging is a well-known type of "killer" defect that can become prevalent in KrF and ArF photoresist systems. When present in BEOL lithography layers, bridge defects can manifest as catastrophic, single-line open circuit faults ("opens") in the metal lines of the finished device. Previous work in BARC + resist systems has demonstrated the effectiveness of improved filtration in reducing bridge defects. The present work evaluates the impact of improved filtration on both litho defectivity and device yield. Application of asymmetric nylon 6,6 filters to a bi-layer resist yielded a significant reduction in microbridge defects via removal of gel-like particle defect precursors. Ultimately, these changes are responsible for two-thirds of baseline defectivity reduction in single-line opens. The same benefits are realized-though via a different mechanism-when all-fluoropolymer filters are introduced for a post-develop rinse, which uses ultrapure water (UPW) that is ozonated to 50ppb. Filtration is applied both to the water point-of-supply and at point-of-use. Over time, a significant reduction in microbridge defects-caused by residual developed resist-was realized for several BEOL KrF litho layers. As with the bi-layer resist process, enhanced filtration contributes significantly (36%) to reduction in the single-line opens defect baseline.
Post-develop blob defect reduction
Masahiko Harumoto, Sei Negoro, Akihiro Hisai, et al.
This study reports on blob defect reduction and process impacts by Acid Rinse System. Blob defects that appear after develop are a common problem with i-line, KrF, ArF and ArF-immersion resists. Last year we reported Blob defects were influenced by the develop process and were able to be decreased by improving process. Furthermore we identified blob defects were caused from alkaline developer and could be reduced by neutralizing Acid Rinse. In this work, we designed a novel develop process and system that reduced blob defects. We evaluated this system on the non-topcoat immersion resist. The blob defects on immersion resist were also eliminated by this system but affected by each resist surface condition. We also evaluated the impacts from Acid rinse for some kinds of patterns and resists, because we needed to indentify whether there were negatively process impacts. We reports that Acid Rinse System significantly reduced blob defect counts, and whether influenced other process impacts. Finally we report the mechanism of the blob defects reduction.
Embedded micro/nano channel formation for three-dimensional negative-tone photoresist microstructuring
Sang-Kon Kim, Hye-Keun Oh, Young-Dae Jung, et al.
Photoresist lithography has been applied in MEMS (micro electro mechanical systems). The flexible 3D (three dimensional) micro / nano fabrication technique and its process simulation tool have required for 3-D MEMS. This paper presents a UV lithography process simulation for the embedded micro / nano channel formation in a negative-tone photoresist. For its purpose, the moving-mask technology and lithography processes of the negative-tone chemically amplified photoresist are modeled. The simulation algorithm of nano-lithography is applied for micro-lithography. The validity of simulation for the proposed 3D microstructuring is successfully confirmed by the comparison between experimental results and simulated results. Hence, the developed modeling and simulation can discuss and optimize photoresist characteristics and lithography process conditions due to the various pattern formations of micro / nano channels.
Analysis of the effect of point-of-use filtration on microbridging defectivity
J. Braggin, R. Gronheid, S. Cheng, et al.
Microbridging defects have emerged as one of the top yield detractor in semiconductor manufacturing as Moore's law drives towards 32nm processing utilizing immersion lithography. It is generally recognized that there are multiple root causes for microbridging defectivity. Image and resist contrast and different developer techniques have been studied and their contribution to microbridging defectivity has been described. In this study we will focus on the effect of point-ofuse filtration and how it is best used to mitigate microbridging defectivity. A design of experiment methodology will be utilized to understand the effect of various filter and filtration parameters on microbridging defectivity, including filter retention rating, filter media and design, filtration rate, and controlled filtration pressure. It is anticipated that by better understanding the effect of point-of-use filtration on microbridging defectivity, guidelines for better control of this type of defect may be formulated.
Novel resist for replica preparation of mold for imprint lithography
Daisaku Matsukawa, Hiroyuki Wakayama, Kazuyuki Mitsukura, et al.
Two types of dimethacrylate which have hemiacetal ester moiety in a molecule were synthesized from difunctional vinyl ethers and methacrylic acid. UV curing of the monomers and photo-induced degradation of the UV cured resins were investigated. On UV irradiation at 365 nm under N2 atmosphere, these dimethacrylates containing 2,2-dimethoxy-2-phenylacetophenone and triphenylsulfonium triflate became insoluble in methanol. The UV cured resins degraded if acids were generated in the system. Present resins were applied to make a plastic replica of mold for imprint lithography and the plastic replica was prepared in good form. The effect of imprint conditions on volume shrinkage of methacrylates was investigated. Dimethacrylate that has adamantyl unit showed a low-shrinkage property.
Pressure control for reduced microbubble formation
Jennifer Braggin
Microbubbles in leading-edge photoresist materials create a challenge to the demanding yield requirements of today's shrinking circuit designs. When microbubbles are dispensed onto a wafer surface, they can act as an additional lens in the exposure path, ultimately distorting the pattern and affecting yield. Proper filter selection, filter priming, and dispense settings chosen during process startup are critical to reducing microbubbles, but certain chemistries can continue to cause problems even if the process has been optimized. This paper presents the results of applying a small amount of positive pressure on the chemistry before the dispense nozzle to reduce microbubbles in top anti-reflective coating (TARC). A two-stage technology dispense system was utilized to adjust the pressure on the chemistry in the dispense line while an in-line optical particle counter monitored the microbubbles generated during the dispense process.
Productivity improvement in the wafer backside cleaning before exposure
S. Nishikido, T. Kitano, Y. Tokunaga, et al.
In the photolithography process, with the miniaturization of pattern size, depth of focus (D.O.F) is also becoming smaller and smaller. This indicates that the control of particles on the wafer backside which has not been regarded as a problem so far is becoming important. Therefore, we considered that wafer backside is cleaned just before a wafer is transferred into the exposure equipment in order to prevent the occurrence of a Focus error and reduce the contamination of the exposure chuck. As a result, it was verified that the cleaning of wafer backside at the memory production line of the 70nm node can reduce the contamination of the exposure chuck and can extend the period of maintenance for the exposure equipment. Moreover, it was also verified that the cleaning of wafer backside can improve productivity.
Development of novel UV cross-linkable materials for enhancing planarity in via applications via the correlation of simulated and experimental analyses
The use of conventional thermally cross-linked materials in advanced lithography and nano-imprinting techniques, such as negative photo resist, anti reflective coatings and planarizing layers, does not guarantee that a high degree of planarization will be obtained. Additionally, iso-dense thickness biases can create problems by narrowing process latitudes. This presentation focuses on the correlation between simulated and experimental analyses and how planarization is affected. The factors we have identified that influence a material's planarizing capability are; coating spin speed, spin time and the relationship between the solvent concentration of the material and it's via filling properties. Through optimization of these factors, an appreciable reduction in via topography was achieved. Based on our results, novel, UV cross-linkable materials have been developed and optimized for improving planarity in via applications.
Comparison of thermal flow and chemical shrink processes for 193 nm contact hole patterning
Takanori Kudo, Charito Antonio, John Sagan, et al.
This paper compares thermal shrink properties of contact holes and chemical shrink performance for 193 nm lithography. Pitch dependence, shrink properties, contact hole circularity, sidewall roughness, and process window are also discussed. Thermal flow process exhibited more pitch dependence than chemical shrink process. Thermal shrink rate increased substantially at higher bake temperatures. Contact holes in defocused area shrunk non-evenly and DOF deteriorated upon heating. In chemical shrink process, shrink rate was hardly influenced by mixing bake temperature, contact holes from center focus to defocus area shrunk evenly preserving effective DOF and MEF became smaller at smaller CD. Chemical shrink has clear advantages over thermal flow process and sub-70 nm contact holes were obtained with iso-dense overlap DOF 0.25 μm by optimizing resist formulations and process conditions. Application of shrink processes will pave the way for the next generation LSI production.
Poster Session: ARCs and Multilayer Processes
icon_mobile_dropdown
Message to the undecided: using DUV dBARC for 32-nm node implants
Hyung-Rae Lee, Irene Y. Popova, JoAnn M. Rolick, et al.
In recent years, implant (block) level lithography has been transformed from being widely viewed as non-critical into one of the forefronts of material development. Ever-increasing list of substrates, coatings and films in the underlying stack clearly dictates the need for new materials and increased attention to this challenging area. Control of the substrate reflectivity and critical dimension (CD) on topography has become one of the key challenges for block level lithography and is required in order to meet their aggressive requirements for developing 32nm technology and beyond. The simulation results of wet-developable bottom anti-reflective coating (dBARC) show better reflectivity control on topography than the conventional top anti-reflective materials (TARCs), and make a convincing statement as to viability of dBARC as a working solution for block level lithography.1 Wet-developable BARC by definition offers substrate reflectivity and resist adhesion control, however there is a need to better understand the fundamental limitations of the dBARC process in comparison to the TARC process. In addition, some specific niche dBARC applications as facilitating adhesion to challenging substrates, such as capping layers in the high-k metal gate (HK/MG) stack, can also be envisioned as most imminent dBARC applications.2 However, most of the engineering community is still indecisive to use dBARC in production, bound by uncertainties of the robustness and lack of experience using dBARC in production. This work is designed to inspire more confidence in the potential use of this technology. Its objective is to describe testing of one of dBARC materials, which is not a photosensitive type, and its implementation on 32nm logic devices. The comparison between dBARC and TARC processes evaluates impacts of dBARC use in the lithographic process, with special attention to OPC behavior and reflectivity for controlling CD uniformity. This work also shows advantages and future challenges of dBARC process with several 248nm and 193nm resists on integrated wafers, which have shallow trench isolation (STI) and poly gate pattern topography.
Investigation of the foot-exposure impact in hyper-NA immersion lithography when using thin anti-reflective coating
Darron Jurajda, Enrico Tenaglia, Jonathan Jeauneau, et al.
With immersion lithography at numerical aperture (NA) at or exceeding 1.2, the process window optimization of 42 nm line/space (L/S) patterning is a difficult challenge as the k1 factor approaches 0.26, very close to the theoretical limit. Advanced immersion resists used to print these patterns are extremely thin and do not enable use of a thick bottom antireflective coating (BARC) due to etch selectivity limitations. Conventional BARC optimization based on reflectivity simulation alone does not provide an accurate process window as the resist profile is not fully correlated with substrate reflectivity. Reference experimental tests show that, by varying BARC thickness, we can obtain straighter profiles with 1.9% second-minimum reflectivity as compared to 0.3% first-minimum reflectivity. The Brewer Science, Inc., OptiStackTM simulation tool was used to simulate the optimal conditions based on a full diffraction model where the design criterion is the optical phase shift of the reflection. Two metrics comprise the simulation output: the foot exposure (FE) that characterizes the phase shift, and the effective reflectivity (ER) that is calculated from standing wave amplitude. The objective is to obtain the minimum ER at the target FE. Two experiments were conducted in order to validate this concept. In both set of tests, the films were characterized experimentally by analyzing the process window, resist profile, and line width roughness, and by simulating the FE and ER. In the first experiment a reference BARC, Brewer Science ARC®29A coating, and an advanced variable-k BARC, Brewer Science ARC®121 coating of the ARC®100 coating series, selected from simulation are compared. Even though the reference materials did not show a large variation of FE and ER in the wide thickness range studied, optical simulations explained the tapered profiles and the smaller process windows. The variable-k BARC presented a larger FE range that included both the target FE value and locally minimized ER. Process window analysis shows that the optimal process was not correlated to minimum reflectivity but to the metric previously described, minimum ER at target FE. The second experiment, designed to better de-correlate FE and ER through adapted k and thickness, using again an ARC®100 series BARC, confirmed the strong effect of FE value at a given ER on resist profiles and process window.
Organic underlayer materials with exceptionally high thermal stability
Hwan-Sung Cheon, Kyong-Ho Yoon, Min-Soo Kim, et al.
Multilayer hardmask (MLHM) schemes have been implemented as an indispensable process for ArF lithography which continues to demand thinner photoresist films. There are many variations of MLHM and semiconductor manufacturers choose to adopt their own designs, depending on their specific needs and technical advances. The quad-layer stack consisting of photoresist, organic ARC, CVD Si hardmask, and spin-on carbon underlayer is one of them. Despite the need for wafer transporting between the spin track and CVD equipment, this scheme is attractive because it can avoid laborious elaboration of sophisticated etching chemistries for spin-on Si-ARC and carbon underlayer. One of the issues arising from the mixed film forming process is the thermal stability of carbon underlayer at high temperatures during the CVD process of the Si hardmask. Organic underlayer which shows high thermal stability is crucial for this mixed hardmask process. These types of thermally stable organic film can also be used for other applications such as the spacer patterning technique for pitch size shrinkage. In this paper, we discuss the development of organic resins with high thermal stability, their physical properties, and their lithographic behaviors in the MLHM schemes.
Effects of carbon/hardmask interactions on hardmask performance
Charles J. Neef, Brian Smith, Chris James, et al.
Interactions between the silicon hardmask and the photoresist have received considerable attention for utilization of these materials in a trilayer scheme. In contrast, the interactions between the carbon layer and the silicon hardmask have received little or no consideration. In this paper, we present the effects of these interactions on the performance of the silicon hardmask. Poor interactions were observed to result in a more hydrophilic surface and poor lithographic performance of the silicon hardmask. However, beneficial interactions between the carbon layer and the silicon hardmask resulted in a silicon film that was denser with a hydrophobic surface. The resulting denser film had a slower CF4 etch rate and produced square, clean profiles.
Improving the performance of light-sensitive developer-soluble anti-reflective coatings by using adamantyl terpolymers
Jim D. Meador, Joyce A. Lowes, Charlyn Stroud, et al.
In a search for improved resolution and processing latitude for a family of light-sensitive developer-soluble bottom antireflective coatings (BARCs), the structure of the binder terpolymer was altered by incorporating acid-cleavable adamantyl methacrylates. Contrast curves and 193-nm microlithography were then used as tools in developing a novel developer-soluble adamantyl BARC which does not include a photoacid generator (PAG) or quencher, but instead depends on acid diffusing from the exposed resist for development. This formulation eliminates concern about PAG or quencher leaching out of the BARC during application of the photoresist. Resolution for a resist A and the new BARC was 150-nm L/S (1:1) for both 38-nm and 54- to 55-nm BARC thicknesses. Resolution and line shape were comparable to that of the non-adamantyl control BARC with same resist at 55-nm BARC thickness, with both BARCs giving some undercutting using an AmphibianTM XIS interferometer for the 193-nm exposures. Light-sensitive adamantyl BARCs that do require inclusion of a PAG for optimum lithography with resist A are also described in this paper. The series of developer-soluble adamantyl BARCs were solution and spin-bowl compatible. The 193-nm optical parameters (n and k) for all adamantyl BARCs were 1.7 and 0.5-0.6, respectively.
Controlling etch properties of silicon-based antireflective spin-on hardmask materials
Sang Kyun Kim, Hyeon Mo Cho, Changsoo Woo, et al.
In the recent semiconductor mass production, the tri-layer hardmask system has become crucial for successful patterning in many applications. Silicon-based anti-reflective spin-on hardmask (Si-SOH), which can be built by spin-on coating, is desirable in terms of mass production throughput and the overall cost of ownership. As the pattern size shrinks, the thickness of photoresist also becomes thinner, which forces the thickness of Si-SOH to be thinner resulting in a tighter thickness margin. In this case, controlling optical properties of Si-SOH becomes important in order to achieve low reflectivity in the exposure process. In addition, the tri-layer system can be set up more easily when the etch properties of Si-SOH can be controlled. Previously, we reported papers on silicon-based anti-reflective spin-on hardmask materials for 193 nm lithography, immersion ArF lithography, and optimization of optical properties of Si-SOH. In this paper, the technique for controlling etch properties of Si-SOH by a different type of monomer is described. To control etch properties in the same resin platform, the synthesis method was modified. Characterization of the Si-SOH synthesized by the new technique and the lithographic performance using this material are described in detail.
Modified trilayer resist approach for ArF immersion lithography
Tae-Hwan Oh, Yunsuk Nam, Suhyun Kim, et al.
With the aid of ArF immersion lithography, semiconductor device node was extended sub-40nm and numerical aperture (NA) of litho process was exceeded to unity. In this high NA (over 1.0) lithography, however, it is very hard to control reflectivity between resist and substrate because of total reflection of light. To overcome this problem, the necessities of dual bottom antireflective coating (BARC) which have different refractivity became to realize. Trilayer resist process, which has two layers of spin-on hard mask (SOH) composed of silicon and carbon, was introduced and applied to various generation of ArF lithography from dry to immersion process. However, Lack of adhesion between photoresist (hydrophobic) and Si-SOH (hydrophilic) layer can cause pattern collapse problem, especially during process of line and space pattern. Herein we studied modified trilayer resist process. We introduced Alkyldisilazane(ADS) treatment after Si- SOH coating in trilayer resist process. Silazane functional groups in ADS react with silanols on the Si-SOH surface and silanols are converted to alkyl siloxane groups. Alkyl siloxane groups are more hydrophobic than silanols, so they can act as adhesion promoter during lithography process. And the hydrophobicity was increased when more hydrocarbons were inserted in ADS. We could improve pattern collapse in trilayer resist process and CD uniformity. This process can be optimized to various generations of ArF immersion lithography and further more.
Radiation sensitive developable bottom anti-reflective coatings (DBARC): recent results
Second generation, radiation sensitive, developable 193 Bottom Antireflective coatings (DBARCs) are made solvent resistant through a crosslinking mechanism activated during post apply bake (PAB) that is reversible by acid catalyzed reaction upon exposure of the DBARC/resist stack. This allows coating the resists on the DBARC, after PAB, without dissolution of the antireflective coating. This DBARC approach avoids the plasma etch breakthrough needed for conventional bottom antireflective coatings which are irreversibly crosslinked, while maintaining excellent reflectivity control, typically lower than 1% on bare Si. We will give an update on the performance our latest 193 nm DBARC prototype materials used with different conventional alicyclic based 193 nm resists. For instance, using a binary mask with conventional illumination several of our prototype DBARC formulations were able to resolve 120 nm trench features with a 250 nm pitch.
Immersion BARC for hyper NA applications
Wan-Ju Tseng, Wen Liang Huang, Bill Lin, et al.
Reflectivity control through angle is challenging at hyper NA, especially for Logic devices which have various pitches in the same layer. When patterning critical layers, a multilayer antireflectant system is required in order to control complex reflectivity resulting from various incident angles. Multilayer antireflectants typically consist of an organic and inorganic (TiN and SiON) layers. Fewer or thinner layers are desired for etch pattern transfer. However, it would make the reflectivity control through angle more difficult. We have investigated several antireflectants for a simplified multilayer stack. The organic films differ in terms of n, k, thickness and etch rate. The n, k, and thickness span the ranges of 1.60-1.85, 0.15-0.30, and 30-130nm, respectively. The overall patterning performance including profiles, line width roughness (LWR), overlap depth of focus margin (ODOF) and critical dimension uniformity (CDU) has been evaluated. An immersion tool at 1.35NA was used to perform lithography. Simulation was performed using ProlithTM software.
Reflection control for immersion lithography: a single organic antireflectant over high-reflective substrates for double patterning
Sabrina Wong, Jeong Yun Yu, Sue Ryeon Kim, et al.
When patterning critical layers at hyper NA, a multilayer antireflectant system is required in order to control complex reflectivity resulting from various incident angles. Multilayer antireflectants typically consist of an organic antireflectant and inorganic substrates. However, there are still some applications which need a single organic antireflectant over high reflective substrates. A 2P2E application in double patterning is one of them. Even though the pitch for double patterning is relatively loose, the reflectivity control is still challenging in terms of profiles and overall process window. The optical constants and thickness of antireflectants should be well optimized depending on applications. We have investigated several organic antireflectants for a single antireflectant over high reflective substrates. The organic films differ in terms of n, k, thickness to cover both the 1st minimum and the 2nd minimum applications. The overall patterning performance including profiles and process window has been evaluated. ASML 1900i was used to perform lithography. Simulation was performed using ProlithTM software.
Poster Session: Double Patterning and Double Exposure
icon_mobile_dropdown
Utilization of spin-on and reactive ion etch critical dimension shrink with double patterning for 32 nm and beyond contact level interconnects
Karen Petrillo, Dave Horak, Susan Fan, et al.
Spin-on chemical shrink, reactive ion etch [RIE] shrink and litho-etch-litho-etch [LELE] double patterning have been utilized to produce dense 90 nm pitch, 26 nm bottom CD contacts starting from 65 nm CD, 126 nm diagonal pitch as printed features. Demonstrated lithographic process window, post etch pattern fidelity, CD, and CD uniformity are all suitable to production. In addition, electrical test results shows a comparable defect a ratio vs. a no chemical shrink baseline.
Optical threshold layer and intermediate state two-photon PAG approaches to double exposure lithography
Adam J. Berro, Xinyu Gu, Naphtali O'Connor, et al.
Intermediate state two-photon (ISTP) photoacid generator (PAG) and optical threshold layer (OTL) approaches to double exposure lithography have been explored. We have synthesized "transparent" PAG and sensitizer compounds for use in ISTP systems and have demonstrated the possibility of utilizing such energy transfer systems to generate acid. We have also synthesized side chain liquid crystalline polymers and small molecule azobenzene compounds for use in OTL applications and have begun photoswitching studies.
Fundamental study of optical threshold layer approach towards double exposure lithography
193 immersion lithography has reached its maximal achievable resolution. There are mainly two lithographic strategies that will enable continued increase in resolution. Those are being pursued in parallel. The first is extreme ultraviolet (EUV) lithography and the second is double patterning (exposure) lithography. EUV lithography is counted on to be available in 2013 time frame for 22 nm node. Unfortunately, this technology has suffered several delays due to fundamental problems with source power, mask infrastructure, metrology and overall reliability. The implementation of EUV lithography in the next five years is unlikely due to economic factors. Double patterning lithography (DPL) is a technology that has been implemented by the industry and has already shown the proof of concept for the 22nm node. This technique while expensive is the only current path forward for scaling with no fundamental showstoppers for the 32nm and 22nm nodes. Double exposure lithography (DEL) is being proposed as a cost mitigating approach to advanced lithography. Compared to DPL, DEL offers advantages in overlay and process time, thus reducing the cost-of-ownership (CoO). However, DEL requires new materials that have a non-linear photoresponse. So far, several approaches were proposed for double exposure lithography, from which Optical Threshold Layer (OTL) was found to give the best lithography performance according to the results of the simulation. This paper details the principle of the OTL approach. A photochromic polymer was designed and synthesized. The feasibility of the material for application of DEL was explored by a series of evaluations.
CD uniformity improvement for double-patterning lithography (litho-litho-etch) using freezing process
Hisanori Sugimachi, Hitoshi Kosugi, Tsuyoshi Shibata, et al.
After an analysis of the factors that causes critical dimension (CD) variation in the lithography process of the LLE (Litho-Litho-Etch) double-patterning technology that employs the freezing process, an optimum process for freezing the resist patterns to reduce the CD variation, which occurs after the 2nd litho process, was achieved. By optimizing the track parameters of freezing process, CD variation is likely to be reduced not only in the 1st resist pattern but also in the 2nd resist pattern. The optimum conditions were adopted to form patterns of 40 nm resist lines and spaces in the evaluations conducted in this paper. The formation result showed improvement of 3 sigma of the within-wafer CD uniformity of both the 1st resist pattern and the 2nd resist pattern, by about 13% and 46% respectively.
Contact formation with extremely low proximity effect by double patterning technology
C. W. Yeh, S. S. Yu, H. J. Lee, et al.
Contact hole within a NOR FLASH memory array is one of the most challenging features to print in the semiconductor manufacturing. It has been the key limiter of NOR FLASH memory scaling due to the difficulties involved in patterning the one-dimensional contact arrays and extremely stringent contact to gate overlay constraints. In this study, DPT (Double Patterning Technology) by ArF dry process was introduced for patterning NOR FLASH memory contact arrays. This approach has demonstrated a contact patterning with extremely low optical proximity effect for 50nm half-pitch with satisfied lithography process latitude and especially the circular contact shape can be maintained without compromise of NOR FLASH cell area. The novel hard mask scheme was the key enabler for this contact double patterning and this approach can be easily extended to ArF immersion lithography as a promising option for contact formation in leading-edge memory products.
Double imaging with resist freezing in a vapor reaction chamber
Ralph R. Dammel, Yusuke Takano, Richard Collett, et al.
Cost-effective approaches to double patterning are currently an area of intense interest. This paper describes an update on the progress of AZ's Vapor Reaction Chamber (VRC) freeze approach to double patterning. Swift integration of the VRC process will depend on whether or not a commercial prime chamber can function as a VRC chamber without modifications. Procedures for testing this were developed and applied to a lab VRC and 2 AHD modules. Results demonstrate that for the 8in ADH the across wafer freeze uniformity is within the experimental error of the FT-IR measurements used to evaluate the process, but that some slight variation was seen for the 12in ADH. In addition, progress has been made in improving double imaging profiles over earlier work which used the same resist in both exposures on ArF 1C5D substrates. This work looked at the benefits of using different substrates, establish a suitable resist for each exposure, and using substrate treatments to improve profiles.
Study of the simulation parameter for EUVL
Our research activities concern actual measurements of simulation parameters for EUVL. The conventional EUVL simulation method involves obtaining parameters by exposing the resist to EUV. However, EUV exposure equipment is costly, and the types of exposure equipment available are limited. For these reasons, we explored the possibility of performing EUVL simulations using parameters obtained with KrF exposures, based on the notion that if no significant differences could be detected between parameters obtained with KrF exposures and EUV exposures, the simpler KrF exposure method could be used to obtain valid simulation parameters for EUVL. We compared parameters obtained with KrF exposures and EUV exposures using EUV resist. Both exposure operations yielded similar values. Based on this result, we concluded it would be possible and valid to perform EUVL lithography simulations through EUV exposure simulations based on simulation parameters obtained with KrF exposures.
Process latitude simulation of positive-tone litho-litho-etch double patterning
Double patterning (DP) techniques are emerging as the dominant method to achieve the 32 nm node and beyond. While several DP approaches exist, the litho-litho-etch (LLE) process is attractive for reduced manufacturing cost.[1] Previously published LLE work explored the process latitude in the "positive/negative LLE" regime, wherein the first resist layer is imaged by positive-tone resist and the second resist layer is imaged in negative-tone.[2] In this paper, simulation-based techniques are used to determine the process latitude in the "positive/positive LLE" system. By using the same resist material for first- and second-pass lithography, optical properties are nearly matched. However, a conformal barrier film or other chemical modification must be applied to inhibit the solubility of the firstpass topography and maintain immiscibility between layers. The consequences of choosing a positive-tone resist for both the first- and second-pass are investigated for target CD at 88 nm pitch. Process latitude is characterized using full resist models, reaction-diffusion kinetic solvers, including diffusion-limiting boundary conditions.
Performance of an ArF siloxane BARC exposed to a 172-nm UV cure for double patterning applications
Ze-Yu Wu, Joseph Kennedy, Song-Yuan Xie, et al.
As IC manufactures explore different paths to meet the resolution requirements for next generation technology, patterning schemes which utilize a double photoresist patterning process are under extensive evaluation. One dual patterning process under consideration uses a 172nm UV cure to render the first photoresist pattern insoluble to the casting solvents and developer chemistries used to define the second photoresist pattern. In this work we investigate the change in the material properties such as thickness, optical, bond structure, adhesion and stability of the SiBARC film due to the UV cure. Simulations are included to assess the change in substrate reflectance due to the change in the optical properties of the SiBARC film as a result of the UV cure. Single patterned photoresist line space features versus UV cure dose of the SiBARC - under layer film stack is presented. This is followed by cross-grid and pitch-split double patterning using 172 nm UV light of varying dose to freeze the first photoresist layer patterned using a tri-layer film configuration.
Poster Session: EUV Resist Materials and Processes
icon_mobile_dropdown
Underlayer designs to enhance the performance of EUV resists
Extreme ultraviolet (EUV) lithography has gained momentum as the method of choice for <32-nm half-pitch device fabrication. In this paper, we describe our initial attempts to increase an EUV resist's sensitivity without compromising resolution and line roughness via introduction of a thermally crosslinkable underlayer. The main purpose is to test the possibility of using a combination of photoacid generators (PAGs) and EUV sensitizers (phenol type) in the underlayer designs to enhance the overall performance of EUV resists. We have demonstrated the possible benefits of adding an EUV underlayer into the regular EUV litho stack and investigated the effect of PAG types and loadings on the photospeed and litho performance of three different EUV resists.
Resolution and LWR improvements by acid diffusion control in EUV lithography
Hideaki Tsubaki, Tooru Tsuchihashi, Tomotaka Tsuchimura
A series of photoacid generator (PAG) with different anion size have been synthesized in order to investigate effects of diffusion length on exposure latitude (EL), resolution and line-width roughness (LWR) under EB and EUV exposure. Diffusion length measurement of these PAGs using a model bi-layer experiment revealed that acid diffusion length could be significantly reduced from 1.1 x 103 nm to 13.5 nm at PEB of 120 °C for 90 sec by utilizing the PAG having the biggest anchor group into anion. According to the suppression of acid diffusion, EL for both dense line and isolated line were well improved with maintaining high sensitivity under EB exposure. On the other hand, the relation between sensitivity and LWR were not improved at all. The same trend was also observed under EUV exposure. It is noteworthy that resolution was significantly improved by utilizing the PAG that shows the shortest acid diffusion length. As a result, relation between resolution and LWR was improved, and the Z-factor, which represents resist performance quantitatively, were also improved with the range from 9 % to 51 % by utilizing the PAG with shortest diffusion length into various resist formulations.
EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs
The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits ≤30nm half-pitch (HP) L/S resolution at ≤10mJ/cm2 with ≤4nm LWR.
Development of EUV resists at Selete
This paper summarizes the development of EUV resists at Semiconductor Leading Edge Technologies (Selete): the benchmarking results of more than 160 EUV resists from resist manufacturers using the small field exposure tool (SFET) and the selection of the Selete standard resists (SSR) for the SFET. We discuss the current status of EUV resist performance compared to the targets for 32-nm half-pitches (hp) concerning resist sensitivity, ultimate resolution, and line-width-roughness (LWR). In addition we show the screening results of new resin materials.
Non-chemically amplified negative resist for EUV lithography
Masamitsu Shirai, Koichi Maki, Haruyuki Okamura, et al.
Non-chemically amplified (CA) negative resist for EUV lithography was studied. Photo-induced thiol/ene radical reaction was used to insolubilize the resist based on poly(4-hydroxystyrene) (PHS) derivatives. Hydroxy groups of PHS were modified with allyl, norbornen, or methacrylate moiety. Dissolution property of the modified-PHS in TMAHaq solution was studied. The degree of the modification of PHS strongly affected the solubility in TMAHaq. Resist was a mixture of modified-PHS, multifunctional thiol compound, and photo-radical generator. Photo-sensitivity of the resist was studied at 254 nm and 13.5 nm. The sensitivity was affected the concentration of thiol compound added. It was found that the present resist system was highly sensitive (5~6 mJ/cm2) to EUV exposure.
Pattern transfer process development for EUVL
Test chip manufacturing is an ongoing program at Selete in order to evaluate all elements of extreme ultraviolet lithography (EUVL) such as mask, source, exposure tool, flare compensation, resist material, and pattern transfer processes. One such test chip represents a back end of process - test elements group (BEP-TEG) which is a dual damascene process with an overlay of 35nm-half pitch (hp). Pattern transfer process development for the BEP-TEG manufacturing is investigated. The multi-stack films for pattern transfer are coated films only. The main items for evaluation were resist thickness, necessity of bottom anti-refracting coat (BARC) between resist film and spin on glass (SOG) film, and the BARC material itself and its thickness. The BARC material was evaluated from the stand points of outgassing, etching rate, resist pattern collapse, and resist pattern profiles. Working with resultant multi-stack films, 35nm-hp dense line patterns and 70nm-pitch dense contact-hole patterns were successfully transferred to low-k film.
EUV resist outgassing quantification and application
The measurement 'lower limit' and repeatability of EUV resist outgassing analysis using the pressure rise and gas chromatography mass spectrometry (GC-MS) methods are investigated and discussed. Resist outgassing rate and amount measurement results showed a good repeatability with the application of the same method. As for measurement differences between dissimilar analysis methods (pressure rise and GC-MS), a relative difference of around 10 times was obtained. In addition, qualitative analysis performed using the GC-MS showed the need for clean measurement environment (significantly high vacuum conditions) to reduce the effect of background components affecting the measurement quality. Under such measurement conditions, an accurate analysis of the exact source of resist outgassing components was identified. As a result, it was confirmed that resist outgassing of the EUV resist is mostly composed of photo acid generator and protecting group byproducts.
EUV resist processing in vacuum
In extreme ultraviolet (EUV) lithography, exposures are and can only be performed in vacuum (<1x10-5 Pa). At present though, conventional resist processing technologies before and after exposure (coating, post application bake, post exposure bake, etc.) are performed in atmospheric pressures. Investigations on the possibility of a EUV-specialized resist processing system; specifically, the development of a 300mm wafer compatible, vacuum-based resist baking and cooling system is presented. Comparative evaluations with conventional atmospheric-based systems were made from the viewpoint of resist lithographic performance (sensitivity, resolution, line width roughness) and resist outgassing rate. As a result, an improvement in LWR was also observed in vacuum post application bake and post exposure bake. However, a difference in resist lithographic performance depending on the type of resist material used was observed between resist processes performed in-atmosphere and in-vacuum. Lastly, the vacuum based bake process was found to have no significant effect on resist outgassing rate released.
Non-ionic PAG behavior under high energy exposure sources
A series of non-ionic PAGs were synthesized and their acid generation efficiency measured under deep ultraviolet and electron beam exposures. The acid generation efficiency was determined with an on-wafer method that uses spectroscopic ellipsometry to measure the absorbance of an acid sensitive dye (Coumarin 6). Under DUV exposures, common ionic onium salt PAGs showed excellent photoacid generation efficiency, superior to most non-ionic PAGS tested in this work. In contrast, under 100 keV high energy e-beam exposures, almost all of the non-ionic PAGs showed significantly better acid generation performance than the ionic onium salt PAGs tested. In particular, one non-ionic PAG showed almost an order of magnitude improvement in the Dill C acid generation rate constant as compared to a triarylsulfonium PAG. The high energy acid generation efficiency was found to correlate well with the electron affinity of the PAGs, suggesting that improvements in PAG design can be predicted. Non-ionic PAGs merit further investigation as a means for producing higher sensitivity resists under high energy exposure sources.
Sensitivity of EUV resists to out-of-band radiation
Here we present the relative sensitivity of EUV resists to out of band radiation (OOB), specifically wavelengths in the range 157 - 400 nm. EUV light sources have specifications limiting the allowed energy output in that spectral range yet there is little data supporting the specified values. Filters might be required to meet the spectral purity specifications which will likely have the detrimental effect of reducing the in-band radiation at 13.5 nm and therefore negatively impact the cost of ownership of EUV lithography. To better quantify the effects of OOB we obtained contrast curves and absorbance spectra for several EUV resist platforms at nine exposure wavelengths. The 2007 ITRS Roadmap suggests that resist thicknesses will be near 35 - 65 nm when EUV will be used1. We found that, in this optically thin regime, resist sensitivity increases with increasing absorbance. The sensitivity decreases dramatically for wavelengths approaching 300 nm, and is negligible for longer wavelengths. The OOB sensitivity of the resists examined can be estimated to within an order of magnitude using the resist absorbance value. For resists with absorbance values on the same order of magnitude, sensitivity is determined by other aspects of the resist formulation. Within the wavelength region explored, the greatest concern is near 160 - 240 nm based on current resist sensitivity characteristics. However, there is a gap in data between 13.5 - 157 nm and there may be other reasons to limit the source output in that wavelength range. The data presented here could be useful in setting or modifying the OOB specifications for EUV tools.
Dissolution kinetics and deprotection reaction in chemically amplified resists upon exposure to extreme ultraviolet radiation
Polymer structure effects on the dissolution kinetics and deprotection reaction were investigated to understand inherent extreme ultraviolet (EUV) resist characteristics because it is important for EUV lithography to select appropriate protecting group and protecting ratio. The difference of activation energy caused by protecting groups and protecting ratio was observed. For small protecting group such as tert-butoxy carbonyl group and ethoxy ethyl group, dependence of activation energy on protecting ratio was small. On the other hands, for bulky protecting group such as naphtoxy group protecting ratio significantly affect the activation energy probably due to the effect of steric hindrance. Also, the deterioration of resist sensitivity was observed with increase of protecting ratio while the dissolution slope increase with increase of protecting ratio. A slight difference in Rmax was observed due to the difference of resulting products because it is not chemically identical to polyhydroxystyrene (PHS) because of side reaction occurred during post exposure bake (PEB). Also, Rmin decreased with increase of protecting ratio. Thus, the dissolution rates and sensitivity were more affected by changing the protection ratio of polymer than the type of protecting group.
Evaluation of alcoholic hydroxyl derivatives for chemically amplified extreme ultraviolet resist
Extreme ultraviolet (EUV) lithography is the most favorable process as next-generation lithography. For the development of EUV resists, phenolic materials such as poly (4-hydroxystyrene) have been investigated. Phenolic hydroxyl groups of polymers play an important role in acid diffusion, dissolution kinetics, and adhesion to substrates. Besides these important roles, phenolic hydroxyl groups are also an effective proton source in acid generation in EUV resists. However, the roles of alcohol hydroxyl groups have not been well-studied. To clarify the difference between phenolic and alcoholic hydroxyl groups upon exposure to EUV radiation, we synthesized acrylic terpolymers containing alcoholic hydroxyl groups as model photopolymers and exposed the resist samples based on these polymers to EUV radiation. On the basis of the lithographic performances of these resist samples, we evaluated the characteristics of alcoholic hydroxyl groups upon exposure to EUV radiation. We discuss the relationship between the chemical structures of these derivatives and lithographic performance.
Evaluation of novel resist materials for EUV lithography
In developing high sensitivity extreme ultraviolet (EUV) resists, we focused on the fact that EUV photon absorption by chalcogen atoms is larger than that by carbon or hydrogen atoms. We chose this focus because it is considered that in EUV the absorption of incident radiation by base polymers influences acid generation. To determine the effects of introducing chalcogen atoms into base polymers under EUV exposure on lithography performance, we synthesized novel co-polymers of novel methacylate monomers that included oxygen and sulfur atoms in acid-cleavable moiety as well as polar monomers, and evaluated their sensitivity under EUV and ArF exposure. The sensitivity of polymers that were rich in chalcogen atom content improved more under EUV exposure than under ArF exposure. We also used a highsensitivity quadrupole mass spectrometer (QMS) to observe the outgassing species generated from these polymers under EUV exposure in detail.
Evaluation of track performance for EUV lithography
Keiichi Tanaka, Junji Nakamura, Yoshiaki Yamada, et al.
One of the biggest issues in extreme ultraviolet (EUV) lithography technology is resist material development to improve optimum exposure dose and reduce line edge roughness (LER)/ line width roughness (LWR) and resolution. In order to attain these development targets, various kinds of challenges and innovative ideas are addressed by resist material researchers, for instance, introduction of polymer with lower molecular weight and increase of photo acid generator (PAG) addition amount have been presented. It is expected that these changes of resist materials will have big influence on not only general lithography performance but also track performance. In this paper, the application performance of EUV photoresist material, especially the spread behavior of photoresist just after resist dispense for a coating process, is evaluated using the model resist, dynamic contact angle measurement of resist material, dynamic drop base diameter measurement of resist droplet and so on. We have found that resist materials with small polymer size and high PAG loading have low spread property. From these results, we propose a new hypothesis that localized distribution of solid components that is formed just after resist dispense remains in a resist film after pre-baking and impacts resist performance.
Development of polymers for non-CAR resists for EUV lithography
Andrew K. Whittaker, Idriss Blakey, James Blinco, et al.
Three strategies for approaching the design and synthesis of non-chemically amplified resists (non-CARs) are presented. These are linear polycarbonates, star polyester-blk-poly(methyl methacrylate) and comb polymers with polysulfone backbones. The linear polycarbonates were designed to cleave when irradiated with 92 eV photons and high Tg alicyclic groups were incorporated into the backbone to increase Tg and etch resistance. The star block copolymers were designed to have a core that is sensitive to 92 eV photons and arms that have the potential to provide properties such as high Tg and etch resistance. Similarly the polysulfone comb polymers were designed to have an easily degradable polymer backbone and comb-arms that impart favorable physical properties. Initial patterning results are presented for a number of the systems.
Poster Session: Immersion Lithography Materials and Processes
icon_mobile_dropdown
CD and defect improvement challenges for immersion processes
Keisuke Ehara, Tatsuhiko Ema, Toshinari Yamasaki, et al.
The intention of this study is to develop an immersion lithography process using advanced track solutions to achieve world class critical dimension (CD) and defectivity performance in a state of the art manufacturing facility. This study looks at three important topics for immersion lithography: defectivity, CD control, and wafer backside contamination. The topic of defectivity is addressed through optimization of coat, develop, and rinse processes as well as implementation of soak steps and bevel cleaning as part of a comprehensive defect solution. Develop and rinse processing techniques are especially important in the effort to achieve a zero defect solution. Improved CD control is achieved using a biased hot plate (BHP) equipped with an electrostatic chuck. This electrostatic chuck BHP (eBHP) is not only able to operate at a very uniform temperature, but it also allows the user to bias the post exposure bake (PEB) temperature profile to compensate for systematic within-wafer (WiW) CD non-uniformities. Optimized CD results, pre and post etch, are presented for production wafers. Wafer backside particles can cause focus spots on an individual wafer or migrate to the exposure tool's wafer stage and cause problems for a multitude of wafers. A basic evaluation of the cleaning efficiency of a backside scrubber unit located on the track was performed as a precursor to a future study examining the impact of wafer backside condition on scanner focus errors as well as defectivity in an immersion scanner.
Defect reduction in non-topcoat resist by selective segregation removal step
A non-topcoat (non-TC) resist is a photoresist that contains a hydrophobic additive, which segregates to the surface and forms a layer to minimize surface free energy. The improvement of surface hydrophobicity and the suppression of resist component leaching were confirmed by using this segregation layer. Compared to conventional topcoat process, it is speculated that the use of non-TC resist will reduce the cost of lithographic materials, improve throughput, and will be compatible for the scanning speed improvement of immersion scanners. One issue for the non-TC resist is the possibility of increased defect generation compared to processes using topcoats. It is assumed that the high resist surface hydrophobicity and the developer insolubility of the hydrophobic additive are main factors causing the increase in defect. Therefore, it is important to work out solutions for reducing these defects to realize the non-TC resists. A process of selectively removing the hydrophobic additive between exposure and development process for the purpose of defective reduction of non-TC resist was investigated. Specifically, wet processing was performed to the wafer after exposure using an organic solvent to dissolve the hydrophobic additive. As a result, defect count was reduced to less than 1/1000 with the effective removal of the segregation layer without affecting pattern size. These results prove the effectiveness of the proposed process named 'selective segregation removal (SSR)' treatment in reducing defects for non-TC resists.
Characterization of film cut position at wafer bevel for effective immersion lithography process
In ArF immersion lithography process, material surface and wafer bevel hydrophobicity is an important factor in minimizing defects and water droplet residue. The application of topcoat and topcoat-less materials has been reported to increase hydrophobicity. The hydrophobicity of wafer bevel plays an important role in the effective inhibition of the immersion fluid from leaking to the wafer backside. The hydrophobicity at the wafer bevel can be optimized through the optimization of the film edge cut height (FECH), which is defined as the distance from the film surface down to the film cut edge at the wafer bevel. Special bevel rinse modules have been introduced in track systems to control FECH with a high degree of accuracy. In this work, various types of FECH were analyzed and measured using a newly developed inspection system. Based on these results, the quantification of the FECH for all the materials analyzed was made possible. It was found that FECH changed depending on the bevel rinse condition applied. For example, wafer rotation and bevel rinse flux significantly influence FECH. These results show the possibility of controlling the FECH for optimization.
High-index nanocomposite photoresist for 193-nm lithography
In immersion lithography, high index fluids are used to increase the numerical aperture (NA) of the imaging system and decrease the minimum printable feature size. Water has been used in first generation immersion lithography at 193 nm to reach the 45 nm node, but to reach the 38 and 32 nm nodes, fluids and resists with a higher index than water are needed. A critical issue hindering the implementation of 193i at the 32 nm node is the availability of high refractive index (n > 1.8) and low optical absorption fluids and resists. It is critical to note that high index resists are necessary only when a high refractive index fluid is in use. High index resist improves the depth of focus (DOF) even without high index fluids. In this study, high refractive index nanoparticles have been synthesized and introduced into a resist matrix to increase the overall refractive index. The strategy followed is to synthesize PGMEA-soluble nanoparticles and then disperse them into a 193 nm resist. High index nanoparticles 1-2 nm in diameter were synthesized by a combination of hydrolysis and sol-gel methods. A ligand exchange method was used, allowing the surface of the nanoparticles to be modified with photoresist-friendly moieties to help them disperse uniformly in the resist matrix. The refractive index and ultraviolet absorbance were measured to evaluate the quality of next generation immersion lithography resist materials.
Non-topcoat process development for ArF immersion lithography
Takehiko Naruoka, Nobuji Matsumura, Akimasa Soyano, et al.
Mass production of 193-nm immersion lithography has been started. Top coat process is one of the practical solutions for applying the conventional dry ArF resists to achieve low material leaching and good scanning property, etc... At the present, the lithographic world requires non-topcoat process from the point of view of C.O.O. (cost of ownership), however there are still concerns that have to be revealed and solved. In order to achieve higher scan speed, the superior water repellent property is required at the surface of non-topcoat resist. On the other hand, the influence of water repellent surface property to the track process has to be considered. In this report, the considered items (coating, development, etc...) of the higher water repellent property in non-topcoat process were extracted. Material design for optimization of surface property with JSR non-topcoat resist and novel rinse method from process were proposed as solutions to the concerns. Optimization of surface property showed positive impact to the development and defect performance. The novel rinse method "ADR" which has been developed by Tokyo Electron showed superior availability to reduction of blob type defect.
Backside EBR process performance with various wafer properties
Tomohiro Goto, Kazuhito Shigemori, Rik Vangheluwe, et al.
In immersion lithography process, film stacking architecture will be necessary to avoid top coat film peeling. To achieve suitable stacking architecture for immersion lithography process, an EBR process that delivers tightly controlled film edge position and good uniformity around the wafer circumference is needed. We demonstrated a new bevel rinse system on a SOKUDO RF3 coat-and-develop track for immersion lithography. The performance of the new bevel rinse system for various wafer properties was evaluated. It was found that the bevel rinse system has a good controllability of film edge position and good uniformity around the wafer circumference. The results indicate that the bevel rinse system has a large margin for wafer centering accuracy, back side particles, wafer shape and substrates with good film edge position controllability, uniformity and clean apex. The system has been demonstrated to provide a suitable film stacking architecture for immersion lithography mass production process.
High refractive index nanoparticle fluids for 193-nm immersion lithography
Markos Trikeriotis, Robert Rodriguez, Michael F. Zettel, et al.
A critical issue preventing the implementation of 193nm immersion lithography (193i) to the 32nm node is the availability of high refractive index (n > 1.8) and low optical absorption fluids. To overcome these issues, we have synthesized high refractive index nanoparticles and introduced them into the immersion fluid to increase the refractive index. Hydrolysis and sol-gel methods have been implemented to grow high refractive index nanoparticles with diameters of 3-4nm. Depending on the synthetic route, it is possible to produce stable suspensions of nanoparticles in either aqueous or organic solvents, making it possible to synthesize a stable high-index immersion fluid.
Defectivity process optimization on immersion topcoat less resist stacks
Kazuhito Shigemori, Suping Wang, Len Tedeschi, et al.
Demand for Immersion topcoat-less resist processes is being driven by the desire to reduce the cost per wafer pass. Two key characteristics, required by high speed immersion scanners, of topcoat-less resist are high receding contact angle and low leaching rates. The extremely hydrophobic surface required by the scanner provides significant challenges to the remaining processing steps, especially (developer) process related defects: pattern collapse and hydrophobic residuals. Recent developments in materials and processing techniques have led to very promising results. In this paper the following will be presented: Defectivity results on 45nm L/S of several topcoat-less resists, including the effects of optimized track rinse recipes. Results of a fundamental study on static contact angles changes of different topcoat-less resists after each track process step to identify where in the process issues originate. Imaging and defectivity results of 38nm L/S using the topcoat-less champion resist are presented. These results illustrate the capability of the ASML TWINSCAN XT:1900i / Sokudo RF3i litho cluster of printing 38 nm L/S in a single exposure .
Defectivity issues in topcoat-free photoresists
Karen Petrillo, Rick Johnson, Will Conley, et al.
One method being used to reduce the overall lithography process complexity and cost is to utilize a topcoat-less photoresist. Development of these materials utilizes an additive to prevent water penetration and thus forms the same surface property characteristics created by advanced topcoats. The main challenge for topcoat-less resists is increasing the hydrophobicity without causing too much inhibition at the resist surface - which can lead to bridging or residue defects. The key to such a design is in the balance between leaching control versus dissolution characteristics of the resist without disregarding lithography performance and increasing defectivity. The addition of materials into existing ArF photoresists systems have been shown to modulate the contact angle in water-based immersion lithography. The authors have focused this work on the reduction of defects to achieve defectivity levels that are equal or better than existing systems.
Poster Session: LER/LWR Behavior in Resists
icon_mobile_dropdown
Reduction of line width and edge roughness by resist reflow process for extreme ultra-violet lithography
Extreme ultra-violet lithography (EUVL) has been prepared for next generation lithography for several years. We could get sub-22 nm line and space (L/S) pattern using EUVL, but there are still some problems such as roughness, sensitivity, and resolution. According to 2007 ITRS roadmap, line edge roughness (LER) has to be below 1.9 nm to get a 22 nm node, but it is too difficult to control line width roughness (LWR) because line width is determined by not only the post exposure bake (PEB) time, temperature and acid diffusion length, but also the component and size of the resist. A new method is suggested to reduce the roughness. The surface roughness can be smoothed by applying the resist reflow process (RRP) for the developed resist. We made resist profile which has surface roughness by applying exposure, PEB and development process for line and space pattern. The surface roughness is calculated by changing parameters such as the protected ratio of resin. The PEB time is also varied. We compared difference between 1:1 L/S and 1:3 L/S pattern for 22 nm. Developed resist baked above the glass transition temperature will flow and the surface will be smoothed. As a result, LER and LWR will be much smaller after RRP. The result shows that the decreasing ratio of LER due to RRP is larger when initial LER is large. We believe that current ~ 5 nm LWR can be smoothed to ~ 1 nm by using RRP after develop.
Line edge and width roughness dependency on each ingredient of extreme ultraviolet molecular resist
The purpose of extreme ultraviolet (EUV) lithography is to make pattern size of sub-22 nm. However, there are still some challenges to be overcome for EUV photoresist such as reducing the line edge roughness (LER) and line width roughness. The roughness of conventional polymer resists is large because of large polymer size. Thus many new molecular resists are studied and being developed in order to reduce roughness. To reduce LER we analyzed the size and structure of each ingredient of the suggested molecular resists. The varied parameters are the amount of photo acid generator, quencher and the size of the monomer. The protecting ratio of resin and protected number of a molecule are also varied. Monte-Carlo simulation is used for ingredient dispersion and acid diffusion direction to see the effect to LER. Solid-EUV is used to get the aerial image and photo generated acid for 22 nm node and ChemOffice is used to analyze molecular structure and volume.
A study of the photo acid generator material design for chemically amplified photoresists
In current optical lithography, resolution is required to reach for 45 nm half-pitch and a chemically amplified resist (CAR) is used for a wide variety of applications. For ArF lithography beyond the 45 nm half-pitch, it is important to control pattern quality. The molecular design of a photo acid generator (PAG) is very important in the study to control not only acid strength but also acid diffusion length. Various novel PAGs that have different characteristics were synthesized for resist performance improvement. Acid molecular size was determined by molecular orbital (MO) calculation, and the acid diffusion coefficients (D) of these PAGs were evaluated by a bilayer method. As a result, it was found that acid diffusion coefficient (D) could not be controlled simply by adjusting anion molecular size. It may be presumed that the molecular interaction between acid generated by the exposure and polymer matrix areas is one of the most important key factors for controlling acid diffusion.
Stochastic modeling in lithography: autocorrelation behavior of catalytic reaction-diffusion systems
Reaction-diffusion chemical systems where the catalyst of the reaction is the only diffusing species are investigated. Here, the correlation length and Hurst roughness exponent are derived in one-, two- and three-dimensional firstorder catalytic reaction-diffusion problems. These results are relevant to many chemical systems, and in particular to chemically amplified photoresists used in semiconductor lithography, where the correlation length and Hurst exponent affect the line-edge roughness of sub-100-nm printed features.
Important challenges for line-width-roughness reduction
Hidetami Yaegashi, M. Kushibiki, E. Nishimura, et al.
It is supposed that double patterning process is one of the promising candidates for making mask pattern for dry etching at 32nm and 22nm node. Currently, drastic improvement of overlay of scanner is considered to be the most important challenge and much attention has been paid to sidewall spacer process since it can avoid that problem and also can provide easier method to fabricate patterns repeatedly. In this paper, material option of core pattern, spacer pattern and hard mask, which are main components of this process, is presented and 32nm gate pattern is actually fabricated after process optimization. In addition, line-width-roughness (LWR), whose reduction is becoming more and more necessary, is measured in each process step of spacer process.
Stochastic modeling in lithography: the use of dynamical scaling in photoresist development
The concepts of dynamical scaling in the study of kinetic roughness are applied to the problem of photoresist development. Uniform, open-frame exposure and development of photoresist corresponds to the problem of quenched noise and the etching of random disordered media and is expected to fall in the Kadar-Parisi-Zhang (KPZ) universality class. To verify this expectation, simulations of photoresist development in 1+1 dimensions were carried out with random, uncorrelated noise added to an otherwise uniform development rate. The resulting roughness exponent α and the growth exponent β were found to match the 1+1 KPZ values exactly.
Line edge roughness transfer during plasma etching: modeling approaches and comparison with experimental results
Vassilios Constantoudis, George Kokkoris, Panayiota Xydi, et al.
In this paper, both modeling and experimental results for the effects of plasma etching on photoresist Line Edge and Width Roughness (LER/LWR) and their transfer to underlayer films are presented and compared. In particular, we investigate the roughness formation on both photoresist and underlayer sidewalls during a) isotropic trimming of photoresist, b) anisotropic plasma etching and LER transfer to substrate, and c) photoresist trimming followed by anisotropic plasma etching of the substrate. The trimming process is modeled with an (2D or 3D) isotropic movement of the resist sidewall. In the anisotropic plasma etching process, the resist sidewall is used as a mask to anisotropically transfer the pattern to the underlying film. Experiments include trimming of 193nm photoresist in O2 plasma with no bias voltage and anisotropic etching of BARC and Si underlayers in CF4 and HBr/Cl2/O2 with bias. Both model and experimental results show that resist trimming causes reduction of resist LWR and increase of the correlation length and roughness exponent with trimming time. This means that surface features vs trimming time become lower, wider and with less high frequency fluctuations. In the case of anisotropic etching, model predicts noticeable reduction of LWR whereas, correlation length and roughness exponent remain almost unaffected. The first experimental results seem to confirm these predictions. As regards the resist trimming followed by anisotropic etching, modeling results predict that the intervention of an isotropic trimming process before pattern transfer does not lead to larger LWR reduction.
Poster Session: Molecular Resists
icon_mobile_dropdown
Image reversal trilayer process using standard positive photoresist
David J. Abdallah, John Sagan, Kazunori Kurosawa, et al.
Conventional trilayer schemes alleviate the decreasing photoresist budgets as well as satisfy the antireflection issues associated with high NA imaging. However, a number of challenges still exist with standard trilayer processing, most notable among which is the lack of broad resist compatibility and trade-offs associated with improving Si content, such as stability and lithography performance. One way to circumvent these issues is to use a silicon hard mask coated over a photoresist image of reverse tone to the desired pattern. Feasibility of this image reversal trilayer process was demonstrated by patterning of trenches and contact holes in a carbon hard mask from line and pillar photoresist images, respectively. This paper describes the lithography, pattern transfer process and materials developed for the image reversal trilayer processing.
Quantitative measurement of the molecular-mass distribution in calix[4]resorcinarene molecular glass resists by mass spectrometry
W. E. Wallace, K. M. Flynn, C. M. Guttman, et al.
The polydispersity in the degree of functionalization for two calix[4]resorcinarenes was determined by measuring, quantitatively, their molecular mass distribution with matrix-assisted laser desorption/ionization time of flight mass spectrometry. A mathematical method for polydisperse materials is described that creates a calibration curve to correct the ion intensities in the mass spectrum to give a more reliable molecular mass distribution. One calix[4]resorcinarene was found to give accurate molecular mass distributions with little correction, while another having a very similar molecular structure was found to exhibit strong over counting of the oligomers having a high degree of functionalization.
Adamantane-based molecular glass resist for 193-nm lithography
Shinji Tanaka, Miki Murakami, Kazuya Fukushima, et al.
We have already developed several candidates of future resists using not only monomer but also the molecular glass resists as high performance resist materials and discussed them on the former talk. Those novel adamantane based molecular glass resists were made sure of sufficiently high Tg and the highly etch resistance. However some of them were not satisfied as resist materials due to their higher or lower solubility. Then we have designed and synthesized new adamantane based molecular glass resists containing acetal and ester moieties for the lower dose sensitivity and the excellent transparency at 193 nm. Further more, the protecting groups were modified in order to control the solubility into both a conventional solvents for the spin on the wafers and a developer. Novel adamantane-based molecular glass resists were modified their polarity of the hydroxyl group of cholic acid moiety in order to improve their film thickness loss by subtrahend and / or by capping the hydroxyl group. These treatments affected their adhesion to a wafer greatly. The capping technique using with any units can introduce various functional groups and applied versatile improvements. GR-14 that were capped their hydroxyl group with acetyl unit was imaged sub 100 nm line and space by the EB exposure. Although, the excess subtraction of hydroxyl group has reduced its film property like GR-11 that made from lithocholic acid. We made sure that the imperfect resist for its improvement of the film thickness loss such as GR-17, GR-18 and GR-19 was affected by BARCs as the under layer.
Molecular glass resists for next-generation lithography
The semiconductor industry is pushing the limits of resolution to sub-30nm through the extension of 193nm lithography as well as next generation techniques such as EUV lithography. Molecular glass photoresists may provide enhanced resolution and performance advantages compared to traditional polymeric resists. These organic compounds have a low molecular weight but still display high glass transition temperatures (Tgs). Enhanced design aspects are employed to give beneficial resist properties such as transparency, high Tg and etch resistance. Asymmetrical, rigid structures are used to create amorphous structures with high Tg molecular glasses, such as branched structures and carborane inclusion complexes. Alicyclic cyclodextrin ring compounds have also been employed for 193nm lithography. Unconventional atoms such as boron have been incorporated to increase etch resistance while supercritical CO2 was employed as an environmentally friendly solvent free developer. Exploring structural aspects and their effect on resist performance is important in the design of new molecules for next generation lithography and will be discussed.
Reworkable spin-on trilayer materials: optimization of rework process and solutions for manufacturability
Ruzhi Zhang, Allen G. Timko, John Zook, et al.
Trilayer stacks with alternating etch selectivity were developed and extensively investigated for high NA immersion lithography at 32nm node and beyond. The conveyance of pattern transfer function from photoresist to Si-containing bottom anti-reflective coating (Si-BARC) and carbonrich underlayer hard-mask (UL) elegantly solved the small etch budget issue for ultra-thin photoresists in immersion lithography. However, due to the hybrid nature of Si-BARC, many different behaviors were observed in comparison to conventional BARC. Lithographic performance, stability, and reworkability were among the most challenging issues for trilayer scheme. Despite of the rapid improvement in lithographic performance and stability of trilayer materials reported by several papers, the rework and cleaning of trilayer materials by wet chemistry remained a challenging problem for manufacturability. The dual function requirement of reflection control and pattern transfer (i.e. hard-masking) for spin-on Si-BARC mandates hybrid materials. Si-BARC containing both organic moiety and inorganic backbone were extensively studied and demonstrated excellent performance. However, the hybrid nature of Si-BARC necessitates the revisit of different wet chemistries and process adjustment is essential to achieve desirable results. In addition, the similarity in chemical structures between Si-BARC and low-κ dielectrics demands subtle rework differentiation by wet chemistry from a chemistry point of view. In our development, we strived to identify rework solutions for trilayer materials in both front-end-of-line (FEOL) and back-end-of-line (BEOL) applications. Rework solutions including diluted HF, Piranha, and low-κ compatible strippers were extensively investigated. The optimization of solution mixture ratios and processing conditions was systematically studied. Thorough defect inspection after rework was performed to ensure the readiness for manufacturability. Extensive Piranha rework study on stack wafers and monitor wafers were carried out and excellent results are reported.
Development of new phenylcalix[4]resorcinarene: its application to positive-tone molecular resist for EB and EUV lithography
We have developed new positive-tone molecular resist material, C-4-(2-methyl-2-adamantyloxycarbonylmethoxy) phenylcalix[4]resorcinarene (MGR110P). MGR110P showed high solubility in both conventional resist solvents such as propylene glycol monomethyl ether and cyclohexanone. MGR110P was single molecular without molecular weight disperse. A positive-tone molecular resist based on MGR110P was evaluated by EB lithography (EBL) and EUV lithography (EUVL). This resist could be developed a standard alkaline developer of 0.26N TMAHaq. EB patterning results showed the resolution of this resist on a HMDS primed Si wafer to be 40 nm line and space at an EB exposure dose of 28μC/cm2. The line edge roughness (LER) showed 3.8 nm at 50 nm line and space pattern at EB exposure dose of 26μC/cm2. Unfortunately, 30 nm line and space pattern collapsed. In addition, EUV patterning results showed the resolution on an organic layer substrate to be 45 nm line and space at an EUV exposure dose of 10.3 mJ/cm2. Unfortunately, 40 nm to 30 nm line and space pattern collapsed.
Development of novel positive-tone resists for EUVL
Takanori Owada, Akinori Yomogita, Takashi Kashiwamura, et al.
We will discuss the effect of protecting group distribution on lithographic performance with Cyclic-Low-Molecular (CLM) resists which have some or no distribution of the protecting groups. By comparing the result of CLM resist with distribution of the protecting group and CLM resist with no distribution of that, the latter gave high resolution of sub 30nm hp by Electron beam (EB) Lithography. And also we have developed new CLM-resist for which the substituted position and number of protecting group have no dispersion, and evaluated their EB and Extreme Ultraviolet (EUV) patterning performance. The EUV lithographic evaluation of the novel low molecular weight amorphous resists 'CLMC-Resist' was carried out at SFET (small field exposure tool) in Semiconductor Leading Edge Technologies Inc. (Selete). Newly synthesized resists have shown high performance of sensitivity and resolution under EB or EUV exposures. In this paper, we outline the design of new molecular weight resists. The material properties, photochemistry and the patterning capability of these newly synthesizes low molecular weight resists are reported.
Molecular glass resists developable in supercritical carbon dioxide for 193 nm lithography
Jing Sha, Jin-Kyun Lee, Christopher K. Ober
In order to meet the growing demand for smaller and higher-performance microelectronic devices, attention has been focused on developing molecular glass photoresists which can be employed under next-generation 193-nm immersion lithography conditions. These amorphous organic compounds produce high-resolution patterns due to their smaller pixel size and lack of chain entanglement compared with polymer photoresists. Specially designed molecular resists have substantial solubilities in supercritical carbon dioxide (scCO2) which can be altered through acid-catalyzed deprotection reactions. While molecular resists based on phenols have been demonstrated for high-resolution patternability, scCO2- developable molecular materials have not yet been reported for 193-nm lithography. In this paper, we introduce alicyclic materials based on naturally occurring backbones as chemically amplified molecular resists developable in scCO2. Methylated β-cyclodextrin and cholic acid derivatives with acid-labile protecting groups form good amorphous thin films with high glass transition temperatures (>100 °C). These molecules show the capability of being patterned and developed in scCO2 with resolution below 200 nm.
Molecular resists based on calix[4]resorcinarene derivatives for EB lithography
Molecular resists are excellent candidates for next-generation lithography because of their resolution and line edge roughness properties. Calixarenes and their derivatives have been evaluated by several research groups as resist materials. However, resists based on calixarene derivatives have issue, such as low adhesiveness to substrates and high solubility, in a standard 0.26 N alkaline developer. In this study, a series of calix[4]resorcinarene (CRA) derivatives were synthesized and evaluated as negative-tone chemical amplified resists for EB lithography. Typical resist components include the CRA, a photo acid generator, a cross linker, a quencher and solvent. Dissolution rates of CRA derivatives for an alkaline developer have been optimized. As a result, the best of the resists exhibited a resolution of under 20 nm halfpitch with reasonable sensitivity under 100 kV electron beam exposures. Furthermore, the resists based on CRA derivatives showed improvements in adhesiveness to substrate and sissolution properties.
Practical implementation of immersion resist materials
Immersion lithography has gone through its first phase of introduction and acceptance as the main solution for critical layer lithography for 45nm node and beyond. In this phase, the industry has found that immersion technology has its own unique challenges associated with introducing water as a medium between the projection lens and wafer. Resist process qualification is once again under the spot light. Due to the rapid introduction of immersion technology resist suppliers did not have sufficient time to reformulate their standard ArF resist processes to be compatible with water while at the same time satisfying critical imaging, etching and other requirements. For this reason a barrier (topcoat) had to be introduced in order to prevent resist leaching as well as to produce a more desirable surface for water to glide over. Introducing a top-coat created challenges for all parties involved: scanner manufacturers resist vendors and the end users. Since each manufacturer has its own unique technology for introducing immersion water, top-coat/resist processes needed not only to meet the end users' performance criteria but also meet each scanner manufacturer's requirements. Therefore material screening process and process evaluation became an important factor in immersion technology processes. Defectivity became the primary criterion for the resist process. The responsibility of the scanner manufacturer is twofold: first, to produce a system compatible with many different resist processes while not introducing additional defects, and second, to give resist manufacturers clear and concise requirements for achieving performance. In this paper we show how we have met the industry's needs in this area. First, we discuss the importance of material screening, including requirements for hydrophobicity, leaching, and peeling. Second, we present defectivity and other experimental data from practical materials that fulfill all requirements. Cases will be shown wherein an immersion process using commercially available resist processes introduces no additional defects. Several of these now do not require a topcoat. We therefore show that the industry's needs have been met with both topcoat and topcoat-less processes.
Analysis of molecular resist distribution in a resist film by using x-ray reflectivity
Jeongsik Kim, Jae-Woo Lee, Deogbae Kim, et al.
To obtain high resolution and sensitivity and low line width roughness (LWR), the resist film homogeneity is thought to be the key requirement of extreme ultraviolet lithography (EUVL) resist materials. We have synthesized of a new class of chemically amplified molecular glass resists containing rigid triphenolic cores which are protected by flexible side chains. We analyzed the electron density distribution of resist films (70 nm) by using X-ray reflectivity (XRR). The effects of protection ratio, high and low activation protecting groups, chain lengths have been tested using selected molecular resist. We discuss the effects of the chemical structures of new molecular resists on EUV lithographic performances.
Poster Session: Resist Fundamentals
icon_mobile_dropdown
Theoretical analysis of energy degradation of electrons in the resists
In the electron-beam lithography and extreme-ultraviolet lithography electrons above ionization energy collide with resist materials and bring out their ionizations and electronic excitations. The degradation mechanism of the electrons in resists plays the important role to determine the resist properties. The energy distribution of the electrons ejected in collisions with the resist molecules and the yields of ions and excited states of resist molecule, and the contributions of molecular orbitals to the yields were calculated by using the binary-collision theory and the continuous-slowing-down approximation. Phenol was used as a model of phenol resists. The results show that the electron impacts produce mainly secondary electrons with the lower energy. The selectivity in the ionization and excitation processes was found to increase with decreasing the incident energy. The outermost molecular orbital ionizes more dominantly with decreasing the incident energy. The lower incident energy preferentially generates the electrically-excited triplets than the singlets of resist molecules.
Theoretical analysis of development behavior of resist measured by QCM
Quartz-crystal-microbalance (QCM) data were simulated using the characteristic matrix method on a four-layer model. The calculated surfaces of resonance frequency and impedance visualize well their dependencies on the thickness of the dry layer, the thickness and the rigidity of the swelling layer during development. The ideal and swelling dissolutions by the Case II diffusion with high rigidity were analyzed using the same surface of the resonance frequency, which gives visually the condition for the Sauerbrey's relation. The larger thickness of swelling layer and the larger decrease of rigidity during the development show the undulating surfaces of the resonance frequency and impedance, which represent QCM traces with a single-peak, a double-peak or sequential double peaks during the development. The characteristic-matrix analysis has shown the validity of quantitative analysis of QCM data.
Temperature and critical dimension variation in a single wafer on hot plate due to non-uniform heat source
Post exposure bake (PEB) is the most important process for chemically amplified resist to make nano-scale device. According to 2007 ITRS roadmap, critical dimension (CD) should be controlled below 1.9 nm on sub-22 nm half pitch in whole process of semiconductor. But CD error can be happened during the whole processes of exposure, PEB, develop, and etching. For this study, we assumed PEB process is just one of four processes, so that we take arithmetic mean error of four process, namely, ~ 0.5 nm (1.9 nm / 4) CD error should be controlled during PEB, even though PEB is the critical processes for CD control. 1 degree PEB temperature difference would make 3 nm CD change, so that we should control the temperature variation below 0.2 degree to control CD variation within 0.5 nm for 22 nm node. However, temperatures on the whole hot plate is not perfectly uniform. The temperature at the heat source is higher than that at the position with no heat source. Such a temperature difference inside hot plate would be directly transferred to the wafer and eventually inside the photoresist. Thus the temperature distribution inside the whole photoresist would be non-uniform, and this would make non-uniform CD distribution eventually. We calculated the temperature distribution within the hot plate in accordance with the position and structure of heat source. We also calculated the temperature distribution inside photoresist by considering the heat conduction. In addition to that, we estimated the possible CD variation caused by the non-uniform temperature distribution within photoresist on wafer.
Decomposition analysis of molecular resists to further CD control
Daiju Shiono, Hideo Hada, Taku Hirayama, et al.
We have designed and synthesized molecular resist material, which has just only two part protecting groups in one molecule (Prot-Mad-2). The resist can resolve below 30 nm hp pattern. We analyzed decomposition reaction using Prot-Mad-2 at the un-exposed and exposed area quantitatively by taking advantage of its property of high purity and simple structure. From the HPLC results, it was found that main decomposition reaction was deprotection of Prot-Mad-2. The ratio of one part de-protected material (Deprot-1-prot-Mad-1) and fully de-protected material (Deprot-2) changed with exposure dose. It was found that exposure dose of surface roughness maximum coincided with the exposure dose where materials of two-part protection, one part de-protection and fully de-protection existed equally in the resist film. Furthermore, dissolution rates of Prot-Mad-2, Deprot-1-prot-Mad-1 and Deprot-2 were totally different. It is considered that surface roughness was generated by different dissolution rates in the presence of Prot-Mad-2, Deprot-1-prot-Mad-1 and Deprot-2. Our result suggests that reducing a variety of dissolution rates at exposed and un-exposed boundary is a key to improve line edge roughness (LER).
Diffusion of acid and amine at resist/BARC interface
Masamitsu Shirai, Noriaki Majima, Haruyuki Okamura, et al.
In chemically amplified (CA) resist process, photo-chemically generated acid is needed to diffuse in resist matrix to induce the de-blocking reaction. The concentration of acid in resist matrix should be constant during the post-exposure-bake (PEB) treatment. Organic bottom anti-reflective coating (BARC) is essentially important to provide reflectivity control for resist patterning. In some cases, the photochemically generated acid and amine added as a quencher can diffuse from resist layer to BARC layer, which causes the footing or undercut of resist patterns. In this study, we have devised novel concept to qualitatively observe the diffusion of acids and amines from resist layer to BARC layer and vice versa. The rate of de-blocking reaction of CA resist was used to estimate the amount of acid in resist layer. It was found that the acid in resist layer can diffuse into BARC layer and the acid in BARC layer can also diffuse into resist layer during PEB treatment. Diffusion efficiency of the acid at resist / BARC interface was dependent on the chemical structure of resist and crosslinking density of BARC materials. Diffusion of amines from resist layer to BARC layer was negligible.
EUV resist requirements: absorbance and acid yield
The challenge in obtaining good resist performance in terms of resolution, line width roughness and sensitivity at EUV wavelength forces to make more efficient use of photons that reach the wafer plane than has been the case for traditional optical lithography. Theory demonstrates that the current absorbance levels of EUV resists are quite far from optimal and absorbance should be increased. The most attractive pathway to achieve this is by increasing the fluorine content of EUV resists. The viability of this approach has been demonstrated using non-chemically amplified PMMA as model resist and comparing its photospeed with a fluorinated analogue. It has been demonstrated that the photospeed increases due to improved resist absorbance by ~1.5X, which is close to 1.7X that is predicted by the difference in absorbance. Further modeling studies support the experimental results and indicate an optimum for total film absorbance of ~0.20- 0.25. Compared to current platforms this would correspond to an increase in photospeed by ~1.7X which is accompanied with an improvement in LWR of ~1.14X. Combining this approach with the trends in EUV resists to increase PAG loading and include sensitizer in order to improve photospeed will likely provide a path for EUV resists that will meet the specifications that are required for the 32nm and 22nm node.
Study of residue type defect formation mechanism and the effect of advanced defect reduction (ADR) rinse process
Residue type defect is one of yield detractors in lithography process. It is known that occurrence of the residue type defect is dependent on resist development process and the defect is reduced by optimized rinsing condition. However, the defect formation is affected by resist materials and substrate conditions. Therefore, it is necessary to optimize the development process condition by each mask level. Those optimization steps require a large amount of time and effort. The formation mechanism is investigated from viewpoint of both material and process. The defect formation is affected by resist material types, substrate condition and development process condition (D.I.W. rinse step). Optimized resist formulation and new rinse technology significantly reduce the residue type defect.
Understanding pattern collapse in high-resolution lithography: impact of feature width on critical stress
Chemically amplified resists have served as high resolution and high photospeed patterning materials in the fabrication of modern microelectronic devices for more than two decades. A significant amount of research during that time, and in particular more recently, has focused on minimizing line width roughness and on improving the achievable resolution and sensitivity of resist materials. While these lithographic parameters are certainly important, the distortion of the resist pattern during wet processing and subsequent drying can have significant negative impacts on performance and is often relatively overlooked as a major resist resolution and performance limiter. Resist pattern distortion after development and during drying is mainly due to the unbalanced capillary forces created due to pattern asymmetries which give rise to variations in liquid meniscus radii of curvature as the final rinse liquid is dried from the pattern. These capillary forces are dependent upon the surface tension of the final rinsing solvent, the contact angle of the rinse liquid with the side wall of the resist line, and the pattern space widths and sidewall angles. The demand for resist films with smaller feature sizes has led to a reduction in resist pattern dimensions resulting in overall poor mechanical strength and a decrease in the adhesion forces at the resist line/substrate interface. In this work, the pattern collapse behavior of a hydroxystyrene-based resist copolymer is studied. Ultra-thin film effects and the role of the feature width of the resist line on pattern collapse are also investigated.
Poster Session: Simulation of Resist Processes
icon_mobile_dropdown
Characteristic three-dimensional structure of resist's distribution after drying a resist solution coated on a flat substrate: analysis using the extended dynamical model of the drying process
We extend the former dynamical model of drying process of a resist solution coated on a flat substrate to three-dimensional one. And through numerical simulation of the extended dynamical model we clarified characteristic three-dimensional structure of resist's thickness distribution after drying. And we confirmed characteristic thickness distribution of resist thin film obtained by two-dimensional model through three-dimensional model again.
Polymer dissolution model: an energy adaptation of the critical ionization theory
The current scale of features size in the microelectronics industry has reached the point where molecular level interactions affect process fidelity and produce excursions from the continuum world like line edge roughness (LER). Here we present a 3D molecular level model based on the adaptation of the critical ionization (CI) theory using a fundamental interaction energy approach. The model asserts that it is the favorable interaction between the ionized part of the polymer and the developer solution which renders the polymer soluble. Dynamic Monte Carlo methods were used in the current model to study the polymer dissolution phenomenon. The surface ionization was captured by employing an electric double layer at the interface, and polymer motion was simulated using the Metropolis algorithm. The approximated interaction parameters, for different species in the system, were obtained experimentally and used to calibrate the simulated dissolution rate response to polymer molecular weight and developer concentration. The predicted response is in good agreement with experimental dissolution rate data. The simulation results support the premise of the CI theory and provide an insight into the CI model from a new prospective. This model may provide a means to study the contribution of development to LER and other related defects based on molecular level interactions between distinct components in the polymer and the developer.
Meso-scale simulation of the polymer dynamics in the formation process of line-edge roughness
Hiroshi Morita, Masao Doi
We studied the formation process of the line edge using meso scale simulations based on the dissipative particle dynamics method. The simulation model of the lithographic process is developed in which the dynamics of a polymer chain can be observed. We perform three kinds of simulations; 1) whole area exposure simulation, 2) line pattern simulation, 3) the simulation including the line edge. From our results, a sharp and a homogeneous interface between soluble and insoluble polymers is best solution to LER problem, although its roughness is the size of the chain dimension. The roughened edge can be found in the case of a wide and a homogeneous interface. These results indicate that our simulations can be applicable to study the LER problem and the dynamics of polymer chain will be one of the important origins of LER.
Immersion Lithography Materials and Processes
icon_mobile_dropdown
Improvements in process performance for immersion technology high volume manufacturing
Through collaborative efforts ASML and TEL are continuously improving the process performance for the LITHIUS Pro -i/ TWINSCAN XT:1900Gi litho cluster. In previous work from this collaboration, TEL and ASML have investigated the CDU and defectivity performance for the 45nm node with high through put processing. CDU performance for both memory and logic illumination conditions were shown to be on target for ITRS roadmap specifications. Additionally, it was shown that the current defect metrology is able to measure the required defect size of 30nm with a 90% capture rate. For the target through put of 180wph, no added impact to defectivity was seen from the multi-module processing on the LITHIUS Pro -i, using a topcoat resist process. For increased productivity, a new bevel cut strategy was investigated and shown to have no adverse impact while increasing the usable wafer surface. However, with the necessity of double patterning for at least the next technology node, more stringent requirements are necessary to prevent, in the worst case, doubling of the critical dimension variation and defectivity. In this work, improvements in process performance with regards to critical dimension uniformity and defectivity are investigated to increase the customer's productivity and yield for whichever double patterning scheme is utilized. Specifically, TEL has designed, evaluated and proven the capability of the latest technology hardware for post exposure bake and defect reduction. For the new post exposure bake hardware, process capability data was collected for 40nm CD targets. For defectivity reduction, a novel concept in rinse technology and processing was investigated on hydrophobic non top coat resists processes. Additionally, improvements to reduce micro bridging were evaluated. Finally bevel rinse hardware to prevent contamination of the immersion scanner was tested.
Advanced immersion contact hole patterning for sub 40nm memory applications: a fundamental resist study
In this paper we investigate fundamental resist properties to enhance resolution and focus margin for immersion contact hole patterning. Basic chemistry factors have been used to manipulate the iso-focal region (the region of smallest critical dimension variation through focus) of the photoresist and study the impact on resolution and focus margin for small isolated contact holes. Acid diffusion length is one of the key factors investigated, which can be controlled by polymer, PAG, quencher, bake temperature and bake time. The various criteria investigated for this study were: focus and exposure latitude for dense L/S, dense C/H and semi-dense C/H. The effect of manipulating the acid diffusion of the photoresist on imaging small contact holes was verified using ultra-high NA immersion imaging at 1.35 NA.
Resist process control for 32-nm logic node and beyond with NA > 1.30 immersion exposure tool
Seiji Nagahara, Kazuhiro Takahata, Seiji Nakagawa, et al.
Resist process challenges for 32-nm node and beyond are discussed in this paper. For line and space (L/S) logic patterns, we examine ways to balance the requirements of resolution-enhancement techniques (RETs). In 32-nm node logic patterning, two-dimensional (2D) layout pattern deformation becomes more severe with stronger RET (e.g., narrow angle CQUAD illumination). Also pattern collapse more frequently happens in 2D-pattern layouts when stronger RET is used. In contrast, milder RET (annular illumination) does not induce the severe pattern collapse in 2D-pattern layout. For 2D-pattern layouts, stronger RET seems to worsen image contrast and results in high background-light in the resist pattern, which induces more pattern collapse. For the minimum-pitch L/S pattern in 32-nm node logic, annular illumination is acceptable for patterning with NA1.35 scanner when high contrast resist is used. For contact/via patterns, it is necessary to expand the overlapping CD process window. Better process margin is realized through the combination of hole-shrink technique and precise acid-diffusion control in an ArF chemically amplified resist.
Feasibility study of non-topcoat resist for 22nm node devices
Koutaro Sho, Hirokazu Kato, Katsutoshi Kobayashi, et al.
Subsequent to 45 nm node, immersion lithography using topcoat process is approaching its next step for mass production. However, microfabrication using immersion topcoat leads to increase in cost due to increase in process steps. In order to deal with this problem, high throughput scanners equipped with a wafer stage which moves at higher speed are under development. Furthermore, as resist process compatible with such high speed scanners, non-topcoat resist is available and seems promising in reducing costs of the resist process. Non-topcoat resist contains hydrophobic additives which are eccentrically located near the film surface. Because non-topcoat resist enables the formation of a more hydrophobic surface, non-topcoat resist process is more suitable for high-speed scanning than topcoat resist process. In the topcoat process, the function of topcoat material and resist material is separated. That is, the resist material and the topcoat material are responsible for lithographic performance and immersion scanning performance, respectively. However, the non-topcoat resist is expected both performances. That is, the non-topcoat resist are required a fine resist profile, small LWR, and low development defects at high speed immersion scanning. In this paper, we report the application of non-topcoat resist in 22 nm node devices. We investigate the influence of hydrophobic additives on imaging performance in several base polymers. Additionally, the influence of chemical species, molecular weight and amount of hydrophobic additive are investigated. Scan performance is also estimated by dynamic receding contact angle using pin scan tool. 22nm node imaging performance is evaluated using Nikon NSRS610C. The surface characteristics and lithographic performance of non-topcoat resist for 22 nm node devices are discussed.
Molecular Resists
icon_mobile_dropdown
Single component molecular resists containing bound photoacid generator functionality
A series of single component molecular resists were designed, synthesized, characterized, and patterned using 100 keV e-beam lithography. An onium salt PAG based single component system (referred to here as TAS) which creates a free photoacid upon exposure is shown to produce a low line edge roughness (LER) of 3.9 nm (3σ), but was limited in resolution due to photoacid diffusion. A single component molecular resist with a covalently bound non-ionic photoacid generator (referred to here as NBB), i.e. one in which the photoacid anion is bound to the resist core, was found to exhibit an improved resolution of 40 nm due to reduced photoacid diffusion while maintaining a good LER and line width roughness (LWR) of 3.9 nm and 5.6 nm, respectively. Despite the small size of NBB, it was found to exhibit a glass transition temperature of 82°C. It also showed good adhesion, formed high quality films, and showed no dark erosion during development. These compounds demonstrate that it is possible to form single component molecular resists using both ionic and non-ionic photoacid generators and that such small molecule resists can provide all the basic requirements to serve as functional chemically amplified resists.
Low activation energy fullerene molecular resist
J. Manayam, M. Manickam, J. A. Preece, et al.
Recently there has been significant interest in the field of molecular resists as a route to shrinking the trade-off between resolution, line width roughness and sensitivity for next generation lithography. We have previously presented initial results of a three component fullerene derivative based negative tone chemically amplified electron beam resist with sparse feature resolution of ~12 nm, half pitch resolution of ~20 nm, sub 5 nm line width roughness, sub 10 μC/cm2 sensitivity, and high etch durability. Here we present a further study of the properties of this molecular resist. The material shows extremely wide process latitude. In particular it has been shown that the resolution and sensitivity of the resist are not strongly affected by the post exposure bake conditions. Indeed a post exposure bake was not required at all in order to observe high resolution high sensitivity behavior from the material implying that this is a low activation energy resist. Such low Ea resists were original developed to address problems with airborne contaminants (T-topping) but can suffer from problems with extended post exposure delay (acid diffusion) and with sensitivity to humidity. However, the fullerene based resist was extremely stable under ambient conditions and for post exposure delays of 24 hours, regardless of whether a post exposure bake was applied, showing that the post exposure bake step can be eliminated for this resist, and furthermore that the chemical amplification reaction in the material is in some way self limiting.
Negative-tone molecular resists based on cationic polymerization
There is increasing demand for higher performance resists with superior resolution, sensitivity, and line edge roughness for both electron beam and extreme ultraviolet lithography applications. A new class of negative tone chemically amplified molecular resists has been developed based on epoxide cross-linking that combines high sensitivity with low line edge roughness and excellent resolution. Three different resists from this class have been made that all show superior performance compared to SU-8 in high resolution dense patterns. The functionality and size of the resist molecules were systematically changed to investigate these effects on imaging performance under e-beam lithography. The di-functional epoxy resist, 2-Ep, had < 25 nm half-pitch resolution in dense 1:1 line-space patterns, sensitivity of 38 μC/cm2, and low 3σ LER of 2.9 nm for 30 nm half-pitch. The tri-functional epoxy resist, 3-Ep, showed 30 nm resolution in dense features, 3σ LER of 2.3 nm, and a sensitivity of 20 μC/cm2. The tetra-functional epoxy resist, 4-Ep, likewise showed good resolution of 35 nm half-pitch in dense features, sensitivity of 22 μC/cm2, and a low 3σ LER of 2.3 nm. This class of negative tone resist compounds are able to obtain an excellent combination of resolution, LER, and sensitivity, and show promise as high performance resists for next generation lithography.
Novel Materials, Processes, and Applications I
icon_mobile_dropdown
Fluorinated polymethacrylates as highly sensitive non-chemically amplified e-beam resists
Jeff R. Strahan, Jacob R. Adams, Wei-Lun Jen, et al.
In an effort to improve upon the sensitivity of commercial non-chemically amplified e-beam resists, four polyacrylates functionalized with α-CF3 and/or CH2CF3 alkoxy substituents were studied. The α-CF3 substituent is known to increase backbone-scission efficiency while simultaneously eliminating acidic out-gassing and cross-linking known to occur in α- halogen substituted polyacrylates. Contrast curves for the polymeric α-CF3 acrylates, generated through e-beam exposure, showed the resists required an order of magnitude less dose than the current industry-standards, PMMA and ZEP. The fundamental sensitivity of these materials to backbone scissioning was determined via 60Co γ-ray irradiation. The chain scissioning, G(s), and cross-linking, G(x), values calculated from the resulting change in molecular weight demonstrated that all fluorinated resists possess higher G(s) values than either PMMA or ZEP and have no detectable G(x) values. Utilizing e-beam and EUV interference lithographies, the photospeed of PMTFMA was found to be 2.8x and 4.0x faster, respectively, than PMMA.
Hybrid resist systems based on α-substituted acrylate copolymers
Hiroshi Ito, Linda K. Sundberg, Luisa Bozano, et al.
Classical electron-beam resists such as poly(methyl methacrylate) (PMMA) and Nippon Zeon's ZEP function as high resolution and low roughness positive resists on the basis of radiation induced main chain scission to reduce the molecular weight while chemical amplification resists utilized in device manufacturing function on the basis of acidcatalyzed deprotection to change the polarity. In an attempt to increase the resolution and reduce the line roughness of chemical amplification resists, we prepared copolymers that undergo radiation induced main chain scission and acidcatalyzed deprotection. In another word, we wanted to increase the sensitivity of the PMMA resist by incorporating the acid-catalyzed deprotection mechanism in polymers that undergo main chain scission, maintaining the high resolution and low roughness of PMMA. To synthesize such hybrid resist polymers, we selected α-substituted acrylates and α- substituted styrenes. The former included methyl methacrylate (MMA), t-butyl methacrylate (TBMA), methyl α- fluoroacrylate (MFA), t-butyl α-fluoroacrylate (TBFA), and t-butyl α-trifluoromethylacrylate (TBTFMA) and the latter α-methylstyrene (αMEST), α-methyleneindane (αMEIN), and α-methylenetetralin (αMETL). The α-substituted tbutyl acrylic esters were copolymerized with the methyl esters and also with α-substituted styrenic monomers using 2, 2'-azobis(isobutyronitrile) (AIBN). Hybrid resists were formulated by adding a photochemical acid generator and a base quencher to the copolymers and developers were selected by studying the dissolution behavior of unexposed and 254 nm exposed resist films using a quartz crystal microbalance (QCM). In addition to the difference in the imaging mechanism, PMMA and ZEP differ from the chemical amplification resists in developers; organic solvent vs. aqueous base. We were interested in looking also into the influence of the developer on the lithographic performance. Contrast curves were generated by exposing the resist films to 100 keV electron beams and by changing the postexposure bake temperature (PEB) on a thermal gradient hot plate (TGP). The resists were imaged on our Leica 100 keV electron-beam system and line roughness was measured.
Sub-20 nm trench patterning with a hybrid chemical shrink and SAFIER process
Yijian Chen, Xumou Xu, Hao Chen, et al.
Chemical shrink and SAFIER are two resist shrinking processes that have been proved effective to reduce the trench and contact hole CD with enhanced resolution and process windows. Patterning sub-20 nm trenches, however, is found to be challenging using a single shrink process. To shrink resist trenches from 40-60 nm to sub-20 nm, a double shrink process seems more promising and we have studied the double chemical shrink, double SAFIER, and other possibilities. It is found that SAFIER process is capable of shrinking trenches by more than 30 nm with improved LER, but it suffers from severe CD non-uniformity (e.g., much smaller trenches at wafer center) induced by high SAFIER bake temperature applied to resists to obtain large shrinkage. Chemical shrink can also result in a fairly large shrinkage at high bake temperature, but LER is poor with no improvement in CDU. A novel hybrid process to combine chemical shrink (first) and SAFIER (last) together is proposed and developed. We find that this hybrid approach avoids the disadvantages of two mentioned shrinking processes and has the capability of patterning sub-20 nm trenches in resists with manufacturable process window, CDU and LER. Oxide and nitride etching process with APF (Advanced Patterning Film) as a hard mask is developed and sub-20 nm oxide/nitride trench patterning with excellent LER and acceptable CDU is achieved. APF hard mask is found to significantly improve CDU and LER of small trenches. Relations between CD/shrinkage and process temperature, pitch, and mask trench CD are investigated and the experimental results will be presented in this paper.
ARCs and Multilayer Processes
icon_mobile_dropdown
Progress towards production worthy developable BARCs (DBARCs)
James Cameron, John Amara, Gregory Prokopowicz, et al.
Developable bottom anti-reflective coating (DBARC) technology holds promise in two main areas of lithography. The first application of DBARC is in implant lithography where patterning implant levels would greatly benefit from improved reflection control such as provided by a conventional BARC. However, implant layers cannot withstand BARC open etch thereby making DBARC an attractive solution as the resist and DBARC are simultaneously dissolved during the development step leaving the underlying substrate ready for implantation. In comparison to current implant processes with top anti-reflective coatings (TARC), DBARCs are anticipated to offer improvements in reflection control which would translate to improved CDU and increased process window for both KrF and ArF implants. Indeed, this area has long been considered the ideal insertion point for DBARC technology. The second area where DBARC technology can make a significant impact is in non-implant lithography. In this large segment, the ability to replace a conventional BARC with a DBARC affords the device maker the ability to simplify both lithographic and integration processes. By replacing the BARC with a DBARC, the BARC open etch is negated. Furthermore, by applying this strategy on multilayer stacks it is possible to greatly simplify the process by avoiding both CVD steps and pattern transfer steps thereby easing integration. In this area, DBARC technology could have merit for low k1 KrF and ArF (dry) lithography as well as in immersion ArF processes. This paper describes our results in designing production worthy DBARCs for both implant and non-implant applications. A newly developed KrF DBARC platform is evaluated for logic implant applications and compared to a standard TARC implant process. Post develop residue and defectivity are checked for the new platform and the results compared to production worthy BARC and implant resists. A new ArF platform was also developed and initial lithographic results are reported for an implant application. Several non-implant applications were also investigated and results are reported for high resolution KrF and ArF (dry) lithography as well as an immersion ArF process.
High-Si content BARC for dual-BARC systems such as trilayer patterning
Joseph Kennedy, Song-Yuan Xie, Ze-Yu Wu, et al.
This work discusses the requirements and performance of Honeywell's middle layer material, UVAS, for tri-layer patterning. UVAS is a high Si content polymer synthesized directly from Si containing starting monomer components. The monomers are selected to produce a film that meets the requirements as a middle layer for tri-layer patterning (TLP) and gives us a level of flexibility to adjust the properties of the film to meet the customer's specific photoresist and patterning requirements. Results of simulations of the substrate reflectance versus numerical aperture, UVAS thickness, and under layer film are presented. ArF photoresist line profiles and process latitude versus UVAS bake at temperatures as low as 150ºC are presented and discussed. Immersion lithographic patterning of ArF photoresist line space and contact hole features will be presented. A sequence of SEM images detailing the plasma etch transfer of line space photoresist features through the middle and under layer films comprising the TLP film stack will be presented. Excellent etch selectivity between the UVAS and the organic under layer film exists as no edge erosion or faceting is observed as a result of the etch process. A detailed study of the impact of a PGMEA solvent photoresist rework process on the lithographic process window of a TLP film stack was performed with the results indicating that no degradation to the UVAS film occurs.
EUV Resist Materials and Processes
icon_mobile_dropdown
Incorporating organosilanes into EUV photoresists: diphenyltrimethylsilylmethylsulfonium triflate as a new PAG
Shalini Sharma, Yoichi Ogata, Clarion Tung, et al.
The synthesis and characterization data for a new sulfonium photoacid generator (PAG), diphenyltrimethylsilylmethylsulfonium triflate (I), is reported. It is shown that the molecule undergoes rapid silyl group transfer to water or phenol in the presence of a strong, nucleophilic base such as trioctylamine (TOA). The resulting PAG, diphenyl-methylsulfonium triflate (II), is subsequently degraded by TOA via methyl group transfer from S to N leading to the formation of Ph2S and methyltriocylammonium triflate. Both I and II are stable when non-nucleophilic base quenchers are used. Dose-to-clear and patterning results obtained from EUV exposures at Intel-MET are presented, illustrating that increased sensitivity can be obtained with PAGs I and II relative to triphenylsulfonium triflate (TPSOTf), but that LWR is compromised.
Main chain decomposible star shaped polymer for EUV resist
Jun Iwashita, Takeyoshi Mimura, Taku Hirayama, et al.
The Extreme Ultra Violet lithography (EUVL) is expected to be the most promising semiconductor fabrication technology for 22 nm node and beyond. Kozawa and his colleagues have documented that non-constant acid diffusion coefficient have a significant impact on the latent image quality of 22 nm patterns. We prepared a novel main chain decomposable star shaped polymer (STAR polymer) to examine the concept. STAR polymer consists of a core unit and several arm units which connect to the core unit as shown in Fig.1. The arm units are partially protected poly (p-hydroxystyrene) (PHS) base linear polymer. The core unit that attached on the arm units employs easily acid cleavable group. The adoption of living anion polymerization for the arm units of the STAR polymer makes the controlled polymerization of one monomer unit possible. Based on this material design concept, the protecting group on the arm unit is de-protected by the acid generated during exposure and continues its reaction at the Post Exposure Bake (PEB) step and the acid will also cleave the bonding of the core unit which would then result in a lower molecular weight polymer of lower Tg. The concept of the novel polymer, which is the decomposition of the core and protecting group of arm units of the STAR polymer, was confirmed with a gel-permeation-chromatography (GPC) study. The thermal property of the exposed and unexposed area was also investigated through a thermal flow method. The Tg decrease of the exposed area was observed with the STAR polymer, regardless of increase in Tg of the linear polymer. General lithographic performance on EUV exposure for STAR polymer was also discussed.
Resist material design to improve sensitivity in EUV lithography
Hideaki Tsubaki, Tooru Tsuchihashi, Katsuhiro Yamashita, et al.
Polymer ionization and reductive sensitization of PAG play an important role for acid generation in EUV lithography. We have systematically investigated effects of PAG structure, polymer structure and their loadings on sensitivity of EUV resists. With an increase in PAG loading, both sensitivity and acid generation yield were successfully improved, however, these were saturated at higher PAG loadings. Least-square fitting of sensitivity as a function of PAG loading, polymer loading and quencher loading indicates that both PAG and polymer have a positive effect on sensitivity improvement, and contribution ratio of polymer to PAG on sensitivity is estimated as 1 to 2. This indicates that decrease of polymer loading in place of increasing PAG loading reduce ionization frequency of polymer. To further improve sensitivity, we have synthesized a series of PAGs to clarify how large the electron affinity of PAG affects acid generation yield. A linear relationship between the reduction potential of PAG and EB sensitivity clearly revealed that the strong electron affinity of PAG causes both high acid yield and sensitivity. To further increase acid generation yield, we have synthesized a series of polymers to clarify how polymer structure affects sensitivity. Actually, acid generation yield and sensitivity were both improved by using a newly developed polymer in EUV lithography.
Lithographic evaluation and chemical modeling of acid amplifiers used in EUV photoresists
This paper describes the lithographic properties of fifteen acid amplifiers (AAs) and the chemical modeling approach used to predict their thermal stability in an ESCAP polymer resist system at 70 and 110 °C. Specifically, we show how added AAs affect the sensitivity (Eo and Esize), resolution, line edge roughness (LER), exposure latitude, and Z-parameter of ESCAP resists. We find that acid amplifiers that generate fluorinated sulfonic acids give the best combination of sensitivity, LER, and exposure latitude. Additionally, we show that these compounds are not photochemically active. Combining thermodynamic and kinetic modeling has allowed us to predict the relative enthalpies of activation for catalyzed and uncatalyzed decomposition pathways and compare the results to experimental thermal stability tests.
Aryl sulfonates as neutral photoacid generators (PAGs) for EUV lithography
Robert Sulc, James M. Blackwell, Todd R. Younkin, et al.
EUV lithography (EUVL) is a leading candidate for printing sub-32 nm hp patterns. In order for EUVL to be commercially viable at these dimensions, a continuous evolution of the photoresist material set is required to simultaneously meet the aggressive specifications for resolution, resist sensitivity, LWR, and outgassing rate. Alternative PAG designs, especially if tailored for EUVL, may aid in the formation of a material set that helps achieve these aggressive targets. We describe the preparation, characterization, and lithographic evaluation of aryl sulfonates as non-ionic or neutral photoacid generators (PAGs) for EUVL. Full lithographic characterization is reported for our first generation resist formulation using compound H, MAP-1H-2.5. It is benchmarked against MAP-1P-5.0, which contains the well-known sulfonium PAG, triphenylsulfonium triflate (compound P). Z-factor analysis indicates nZ32 = 81.4 and 16.8 respectively, indicating that our first generation aryl sulfonate formulations require about 4.8x improvement to match the results achieved with a model onium PAG. Improving the acid generation efficiency and use of the generated byproducts is key to the continued optimization of this class of PAGs. To that end, we believe EI-MS fragmentation patterns and molecular simulations can be used to understand and optimize the nature and efficiency of electron-induced PAG fragmentation.
Effect of resist polymer molecular weight on EUV lithography
EUV lithography performances of resist materials with different molecular weight of polymer were investigated. EUV exposure experiment using a SFET at Selete clearly showed that line-width roughness (LWR) and 1:1 half-pitch (hp) resolution were each improved using the polymers with middle and low molecular weights. These polymers showed high dissolution contrast relative to polymer with high molecular weight. Mask linearity data also showed that the polymer with low molecular weight gave a linear dependence on critical dimension (CD) against mask size down to hp 26 nm. Thermal analysis of resist film revealed that thermal glass transition temperature (Tg) was dramatically decreased from 190 °C to 110 °C with decreasing molecular weight from high to low. In contrast with Tg which directly reflects mobility of polymer, exposure latitude (EL) was increased from 12.3% to 14.5% at hp 32 nm by decreasing molecular weight of polymer. Similarly, iso-dense bias was also improved by utilizing the low molecular weight polymer. Combination of PAG-B with the low molecular weight polymer caused further improvement in mask linearity, EL, and iso-dense bias at hp 32 nm, although LWR was rather increased.
Correlation of EUV resist performance metrics in micro-exposure and full-field EUV projection tools
We describe progress in implementation of blur-based resolution metrics for EUV photoresists. Three sets of blur metrics were evaluated as exposure-tool independent comparison methods using the Sematech-LBNL EUV microexposure tool (MET) and ASML α-Demo Tool (ADT) full-field EUV scanner. For the two EUV resists studied here, deprotection blurs of 15 nm are consistently measured using blur estimation methods based on corner rounding, contact hole exposure latitude, and process window fitting using chemical amplification lumped parameter models. Agreement between methods and exposure tools appears excellent. For both resists, SRAM-type lithographic diagnostic patterns at 80 nm pitch are only modestly sensitive to OPC blur compensation and display robust printability (RELS ~ ILS near 50 μm-1 for multiple trench geometries) on the ASML ADT. These findings confirm the continuing utility of blur-based metrics in a) guiding resist selection for use in EUV process development and integration at the 22 nm logic node and below, and b) providing an exposure-tool independent set of metrics for assessing progress in EUV resist development.
Resist Fundamentals
icon_mobile_dropdown
Characterization of the photoacid diffusion length
The photoacid diffusion length is a critical issue for extreme ultraviolet (EUV) lithography because it governs the critical dimension (CD), line-edge-roughness (LER), and line-width-roughness (LWR) of photoresist materials. Laboratorybased experimental methods that complement full lithographic testing would enable a rapid screening of materials and process conditions. This paper provides an approach to characterize the photoacid diffusion length by applying a bilayer stack technique. The method involves quantitative measurements of the deprotection kinetics as well as film thickness at each process step: radiation exposure, post-exposure bake, and development. Analogous to a contrast curve, by comparing the film thickness of the bilayer before and after development, the photoacid diffusion length was deduced in a commercial EUV photoresist and compared to EUV lithography. Further, by combining the experiments with kinetics modeling, the measured photoacid diffusion length was predicted. Lastly, based upon the measured kinetics parameters, a criterion was developed that next-generation resists must meet to achieve a 16 nm photoacid diffusion length. These guidelines are discussed in terms of correlations and contributions from the photoacid and resist properties. In particular, the trapping kinetics of the photoacid provides a route to reduce LER and the CD at low dose.
Elucidating the physiochemical and lithographic behavior of ultra-thin photoresist films
As resist feature sizes and film thicknesses continue to shrink in dimension, a number of resist feature size and film thickness dependent effects are being observed in the lithographic performance of high resolution photoresists. In order to understand these phenomena, a better understanding of the physiochemical behavior of complex multi-component organic resist thin films is needed. As a first step in that direction, a series of model photoresists were studied in an effort to begin to understand the thermophysical properties of such multi-component thin films. The influence of photoacid generator (PAG) loading and PAG chemistry on the glass transition behavior using the model photoresists was studied. A thermal flow experiment was used to characterize an "apparent glass transition temperature" of the resist thin films as a function of PAG type and loading. These results were compared to traditional glass transition measurements made on the same resist compositions using differential scanning calorimetry (DSC). The two methods, namely DSC and the thermal flow measurement, yielded very different results depending on the type of PAG used. Further studies using ToF SIMS to profile the distribution of PAG in the resist thin films revealed that the two PAGs focused on in this work, a triphenylsulfonium triflate (TPS.OTF) and a triphenylsulfonium nonaflate (TPS.ONF), exhibited very different distribution behavior in resist thin films. In the case of TPS.OTF, the PAG was observed to show a depletion region near the resistsubstrate interface while the TPS.ONF PAG was relatively homogeneously distributed in the resist thin films. In the case of TPS.ONF, it was also the resist system which showed very different thermophysical behavior when comparing the glass transition temperature measured using DSC to the thermal flow temperature measurement. This work points out the fact that component distribution and thin film effects must be carefully considered in interpreting and analyzing the behavior of multi-component thin films.
Non-CA resists for 193 nm immersion lithography: effects of chemical structure on sensitivity
Idriss Blakey, Lan Chen, Yong-Keng Goh, et al.
Initial studies are presented on the use of polysulfones as non-chemically amplified resists (non-CARs) for 193 nm immersion lithography. Polynorbornene sulfone films on silicon wafers have been irradiated with 193 nm photons in the absence of a photo-acid generator. Chemical contrast curves and contrast curves were obtained via spectroscopic ellipsometry and grazing angle - attenuated total reflectance FTIR spectroscopy. Results were consistent with previously reported mechanisms for the degradation of aliphatic polysulfones with ionizing radiation. It was shown that E0 values could be reduced significantly by using a post exposure bake step, which propagated depolymerization of the polymer. Initial patterning results down to 50 nm half pitch were demonstrated with EUV photons.
Quantitative measurement of resist outgassing during exposure
Determination of both the identity and quantity of species desorbing from photoresists during exposure at any wavelength - 248nm, 193nm and EUV - has proved to be very challenging, adding considerable uncertainty to the evaluation of risks posed by specific photoresists to exposure tool optics. Measurements using a variety of techniques for gas detection and solid film analysis have been reported but analytical results have not in general been easy to compare or even in apparent agreement, in part due to difficulties in establishing absolute calibrations. In this work we describe two measurement methods that can be used for any exposure wavelength, and show that they provide self-consistent quantitative outgassing data for 2 all-organic and 2 Si-containing 193 nm resists. The first method, based upon gas collection, uses two primary chromatographic techniques. Organic products containing C, S and Si are determined by collection of vapors emitted during exposure in a cold trap and analysis by Gas Chromatography-Flame Ionization Detector-Pulsed Flame Photometric Detector-Mass Spectrometry (GC-FID-PFPD-MS). Inorganic products such as SO2 are identified by adsorbent bed with analysis by Gas Particle-Ion Chromatography (GP-IC). The calibration procedure used provides reasonable accuracy without exhaustive effort. The second method analyzes the elemental concentrations in resist films before and after exposure by secondary ion mass spectrometry technique (SIMS), which requires only knowledge of the resist compositions to be quantitative. The extent of outgassing of C and S determined by the two methods is in good agreement for all 4 resists, especially when taking their fundamentally different characters into account. Overall, the gas collection techniques yielded systematically lower outgassing numbers than did SIMS, and the origins of the spread in values, which likely bracket the true values, as well as detection limits will be discussed. The data for Si were found to differ significantly, however, and we show that the discrepancy is due to photo-induced reactions at the polymer surface with the gas atmosphere present above the resist during exposure. For example, photolytic oxidation of the C-Si bonds in air causes volatile Si-containing products to be formed from an otherwise stable polymer, showing it is important to take the gas environment during exposure into account when designing resist polymers for low Si outgassing.
Simulation of Resist Processes
icon_mobile_dropdown
Simulation of optical lithography in the presence of topography and spin-coated films
Experimental results on etched silicon wafers show that after two consecutive spin-coat processes the upper material surface achieves near planar flatness. This was observed for three separate dual layer BARC systems and the case of photoresist over a single layer BARC. The wafer topography step height (60 nm) and the thicknesses of the organic films (20 nm - 100 nm) were typical for state-of-the-art IC manufacturing lithography processes. A lithographic proximity effect driven by wafer topography pitch was experimentally observed for a single layer BARC system. The response was reproduced with good quantitative accuracy using rigorous wafer plane EMF simulations incorporating ideal etched wafer topography, a planarizing resist film and a simple spin-coat approximation of the BARC coverage, as observed by x-section SEM. In contrast, simulations assuming the limiting cases of a perfectly conformal BARC and a perfectly planarizing BARC failed to predict any meaningful proximity effect.
Mesoscale kinetic Monte Carlo simulations of molecular resists: the effect of PAG homogeneity on resolution, LER, and sensitivity
A two-dimensional kinetic Monte Carlo mesoscale model of molecular resists was developed to probe the effects of photoacid (PAG) homogeneity, specifically PAG aggregation behavior, on the resolution, sensitivity, and line edge roughness performance of resists. The model reproduces many pattern defects that are commonly found experimentally simply by increasing the amount of PAG aggregation. The sensitivity of resists was found to change with increasing PAG aggregation in resists with low photoacid diffusivity, but remain near constant for resists with high photoacid diffusivity. Likewise, LER was found to increase with increasing PAG aggregation in resists with low photoacid diffusivity, but appears to be weakly dependent on PAG aggregation when the resist has photoacids with high diffusivity. Increasing PAG aggregation limits the absolute resolution of a resist because there exists a trade-off between the ability of photoacid diffusion to smooth out the inhomogeneity due to PAG aggregation and the blurring of the patterned feature that reduces resolution. Even very low levels of PAG aggregation appear to greatly limit the potential of a resist for sub-30 nm resolution patterning, but increased PAG loading appears to provide a way to mitigate this problem and allow for improved absolute resolution even in the presence of aggregation.
Calibration of physical resist models: methods, usability, and predictive power
Ulrich K. Klostermann, Thomas Mülders, Denis Ponomarenco, et al.
We discuss the methodology of resist model calibration under various aspects and assess the resulting predictive accuracy. The study is performed on an extensive OPC data set which includes several thousands of CD values obtained with immersion lithography for the 45 nm technology node. We address practical aspects such as speed of calibration vs. size of calibration data set and the role of pattern selection for calibration. In particular, we show that a small subset of the data set is sufficient to provide accurate calibration results. However, the overall predictive power can strongly be enhanced if a few critical patterns are additionally included into the calibration data set. Besides, we demonstrate a significant impact of the illumination source shape (measured vs. nominal top hat) on the resulting model quality. Most importantly, it will be shown that calibrated resist models based on a 3D (topographic) mask description perform better than resist models based on a 2D (Kirchhoff) mask approximation. Also, we show that a resist model calibrated with one-dimensional (lines & spaces) structures only can successfully predict the printing behavior of two-dimensional patterns (end-of-line structures).
Statistical simulation of resist at EUV and ArF
Requirements of resist modeling strategies for EUV and low-k1 ArF nanolithography continue to become more stringent. Resist designers are consistently faced with the task of reducing exposure dose and line roughness while simultaneously improving exposure latitude, depth-of-focus and ultimate resolution. In this work, we briefly discuss a next-generation resist model for the prediction of statistical resist responses such as line-edge roughness, line-width roughness and CD variability, as well as base lithographic responses such as exposure latitude. The model's parameterized fit to experimental data from a state-of-the art polymer-bound PAG resist irradiated at ArF and EUV will be shown. The probabilistic computation of acid generation at ArF and EUV will be discussed. The factors influencing the hypothesized primary cause of resist roughness, acid shot noise, are discussed.
Position shift analysis in resist reflow process for sub-50-nm contact hole
Jee-Hye You, Joonwoo Park, Joon-Min Park, et al.
Contact hole (CH) patterning, specially for sub-50 nm node, is one of the most difficult technique in optical lithography. Resist reflow process (RRP) can be used to obtain smaller CH. RRP is a simple technique that the resist, after the develop process, is baked above the glass transition temperature (Tg). Heating causes the resist flowing, and we can obtain smaller dimension of CHs. However, RRP is unmanageable method because CH offset caused by pattern position in random array CH. So we tried OPC to find uniform CD for every CH, and we could obtain the uniform CD for every CH after RRP. However, we still have CH position shift problem. Because of a difference in an amount of resist that flow into the hole in random array during the reflow process, position shift occurs. This position shift makes overlay error, and it may exceed the overlay error limit suggested by ITRS roadmap. In this work, we try to find not only uniform CD size of each CH, but also optimum condition for correcting CH position shift by using home-made simulation. Moreover, we confirmed the tendency of CH position shift by e-beam lithography experiment. Consequently, we confirmed that CH moved to receding direction from each other, and obtained sub-50nm CHs in random array by considering the position shift through the simulation and experiment.
LER/LWR Behavior in Resists
icon_mobile_dropdown
Resist roughness bi-modality as revealed by two-dimensional FFT 2D analysis
Yehiel Gotkis, Leonid Baranov, Theodore H. Fedynyshyn, et al.
LER/LWR performance is currently considered as one of the major stumbling blocks complicating progress in the semiconductor technology. Line edge scans show that low frequency components clearly dominate the LER Power Spectral Density (PSD), thus implying a large characteristic length (>100-500 nm) phenomenon as the major LER source. Most of the theoretical analyses aimed to identify the origin of the LER were focused on the combined effect of exposure and CAR action statistics, and failed to explain the origin of this limit, which resulted in suggestions that there is more than just one phenomenon involved in LER generation. Depth profiling experiments were performed for a broad set of Polymer-PAG-Base combinations. Depth profiling PSD spectra have demonstrated that higher RMS values and correspondingly higher PSD amplitudes are associated with tighter PSD spectrum shifted towards lower frequencies (larger sizes of roughness features), which is very typical for all the cases investigated. The set of the PSD spectra obtained exhibit a pronounced bi-modal structure, indicating that there are at least two clearly noticeable independent roughness-controlling mechanisms.
Reducing LER using a grazing incidence ion beam
As semiconductor feature sizes and pitches shrink to ever-decreasing dimensions, Line Edge Roughness (LER) becomes and increasing important problem. The LER is transferred from the photoresist to the substrate through the subsequent processing steps, causing variations in, eg, gate length. This leads to mismatch in device performance and leakage. Thus, an efficient and cost effective way to reduce the LER in the semiconductor photoresist is needed in order to keep the imperfections from affecting processing steps further down the line. At the CPMI a new technique to reduce LER from patterened photoresist has been developed in conjunction with INTEL. Results obtained using our technique showed significant LER reduction from 6.9±0.47 nm to 3.9±0.61 nm for 45 nm lines and spaces. Recent results on 40 nm lines and spaces showed significant LER reduction from 5.9±0.50 nm to 4.1±0.63nm. LER reduction results on 40 nm lines and spaces reveal the fact that our technique is superior to other available techniques such as etching, vapor smoothing, hardbake, ozonation and rinse.
Resist fundamentals for resolution, LER, and sensitivity (RLS) performance tradeoffs and their relation to micro-bridging defects
High NA immersion and EUV lithography processes are challenged to meet stringent control requirements for the 22 nm node and beyond. Lithography processes must balance resolution, LWR and sensitivity (RLS) performance tradeoffs while scaling resist thickness to 100 nm and below. Hardware modules including coat, bake and development seek to enable resist processes to balance RLS limitations. The focus of this paper is to study the fundamentals of the RLS performance tradeoffs through a combination of calibrated resist simulations and experiments. This work seeks to extend the RLS learning through the creation of calibrated resist models that capture the exposure kinetics, acid diffusion properties, deprotection kinetics and dissolution response as a function of PAG loading in a 193 nm polymer system. The calibrated resist models are used to quantify the resolution and sensitivity performance tradeoffs as well as the degradation of resist contrast relative to image contrast at small dimensions. Calibrated resist simulations are capable of quantifying resolution and sensitivity tradeoffs, but lack the ability to model LWR. LWR is challenging to simulate (lattice models) and to measure; due to the dependence on spectral frequency. This paper seeks to use micro-bridging experiments as means to better understand the statistical nature of LWR. Microbridging analysis produces a statistical distribution of "discrete bridging events" that encompasses practical variations across scanner, track and resist. Micro-bridging and LWR experiments are done using a 1.2 NA immersion system on 45 nm space structures (90 nm pitch) as a means to demonstrate the concept, but the methodology can also be used to study EUVL processes as the technology matures. The understanding of the RLS performance tradeoffs enables TEL to develop future hardware and processes that support industry scaling goals.
PAG segregation during exposure affecting innate material roughness
Theodore H. Fedynyshyn, David K. Astolfi, Alberto Cabral, et al.
We have developed an improved AFM-based technique to measure intrinsic material roughness (IMR) after base development. We have investigated the contribution of different polymeric PAGs to IMR. These polymeric PAGs include copolymers of several styrenic PAGs with hydroxystyrene. The IMR of these polymer-bound PAGs is reduced relative to that of their nonpolymeric counterparts with DUV exposure. Theses results represent further evidence for PAG segregation during the bake steps as being responsible for increased IMR in exposed resists, presumably by increasing the dissolution rate inhomogeneity on a nano-scale level. The work also shows that the effects of PAG segregation can be mitigated by employing polymer-bound PAGs.
Novel Materials, Processes, and Applications II
icon_mobile_dropdown
Chalcogenide glass thin film resists for grayscale lithography
The advantages and applications of chalcogenide glass (ChG) thin film photoresists for grayscale lithography are demonstrated. It is shown that the ChG films can be used to make ultrathin (~600 nm), high-resolution grayscale patterns, which can find their application, for example, in IR optics. Unlike polymer photoresists, the IR transparent ChG patterns can be useful as such on the surface, or be used to transfer the etched pattern into silicon or other substrates. Even if the ChG is used as an etch mask for the silicon substrate, its greater hardness can achieve a greater transfer ratio than that obtained with organic photoresists. The suitability of ChG photoresists is demonstrated with inexpensive and reliable fabrication of ultrathin Fresnel lenses that are transparent in the visible as well as in the IR region. The optical functionality of the Fresnel lenses is confirmed. Application of silver photodissolution in grayscale lithography for MEMS applications is also shown. The process consists of the following steps: ChG film deposition, Ag film deposition, irradiation through a grayscale mask, removal of the excess Ag and the transfer of the pattern to Si by dry etching. A substrate to ChG thickness etching ratio of ~ 10 is obtained for the transfer of patterns into silicon, more than a five fold increase compared to traditional polymer photoresist.
Defect reduction by using point-of-use filtration in a new coater/developer
Toru Umeda, Shuichi Tsuzuki, Toru Numaguchi
The impact of pore size and membrane material polarity on the effectiveness of point-of-use filtration is evaluated here. Decreased pore size and increased polarity in membrane materials were confirmed to positively influence the effectiveness of microbridge defect removal by a point-of-use filter in the LITHIUS ProTM coater/developer system. Comparative analysis of different solvent systems validates a model of competitive adsorption whereby morehydrophilic solvents and gel-like agglomerates preferentially interact with the Nylon 6,6 membrane surface. This suggests that adsorption is the dominant mechanism for microbridge defect removal via filtration. Therefore, utilizing filtration products built around polar membrane materials (like hydrophilic Nylon 6,6) will result in greater microbridge defect reduction than solely reducing filter pore size.
CDU improvement with wafer warpage control oven for high-volume manufacturing
T. Tomita, H. Weichert, S. Hornig, et al.
Immersion lithography has been developed for 45nm technology node generation during the last several years. Currently, IC manufacturers are moving to high volume production using immersion lithography. Due to the demand of IC manufactures, as the critical dimension (CD) target size is shrinking, there are more stringent requirements for CD control. Post Exposure Bake (PEB) process, which is the polymer de-protection process after exposure, is one of the important processes to control the CD in the 193nm immersion lithography cluster. Because of the importance of the PEB process for CD uniformity, accurate temperature control is a high priority. Tokyo Electron LTD (TEL) has been studying the temperature control of PEB plates. From our investigation, total thermal history during the PEB process is a key point for controlling intra wafer and inter wafer CD [1]. Further, production wafers are usually warped, which leads to a nonuniform thermal energy distribution during the PEB process. So, it is necessary to correct wafer warpage during the baking process in order to achieve accurate CD control on production wafers. TEL has developed a new PEB plate for 45nm technology node mass production, which is able to correct wafer warpage. The new PEB plate succeeded in controlling the wafer temperature on production wafers using its warpage control function. In this work, we evaluated CD process capability using the wafer warpage control PEB plate, which is mounted on a CLEAN TRACKTM LITHIUS ProTM-i (TEL) linked with the latest immersion exposure tool. The evaluation was performed together with an IC manufacturer on their 45nm production substrates in order to determine the true performance in production.
Gap-fill type HSQ/ZEP520A bilayer resist process-(III): optimal process window for HSQ air-tip formation
Wei-Su Chen, Ming-Jinn Tsai
In previous study HSQ air-tip high density array with sub-20 nm radius of curvature were obtained by stripping ZEP520A after thermal reflow of ultra-thin HSQ (hydrogen silsesquioxane) gap-filled ZEP520A contact holes (C/H). And, the mechanical strength of HSQ spacer to resist shrinkage and thermal reflow of ZEP520A was found to play a dual role on the deformation of HSQ-coated C/H and thus the formation of HSQ air-tip. In this paper, effects of HSQ spacer width and thermal reflow of ZEP520A for HSQ air-tip formation are further studied for optimal process window. The effects of pattern and process parameters on the HSQ spacer width and shrink rate of thermal reflow are also evaluated. In short, thicker HSQ spacer is obtained for smaller C/H array size, looser pattern density, larger C/H CD, lower HSQ dilution ratio and thinner resist thickness. Dependence of HSQ spacer width on HSQ dilution ratio is stronger for thicker ZEP520A which implies that HSQ is deficient to fill the sidewall for deeper C/H. Lower shrink rate of diluted HSQ-coated ZEP520A under reflow is obtained for smaller C/H array size, looser pattern density, larger C/H CD, lower HSQ dilution ratio and thinner resist thickness. All of these relationships reflect the dependence of thermal reflow on the resistant effect of HSQ spacer width. Optimal process and pattern conditions for determining critical HSQ spacer width to form HSQ air-tip without bending or HSQ air-rod without shrunk of hole are described in detail.
Feasibility studies of coating method for planarization process
Kentaro Matsunaga, Tomoya Oori, Hirokazu Kato, et al.
The lithography process on topographic substrate is one of the most critical issues for device manufacturing. Topographic substrate-induced focus variation occurs between top position and bottom position in a layer. That is, common depth of focus is reduced. This focus variation is sure to ruin the focus budget in low k1 lithography. From the focus budget of CMOS device, substrate topography is required to be less than 30nm for hp 45-nm generation devices and less than 15nm for hp 32-nm generation devices. In this paper, the authors evaluate a novel concept for hp45-nm generation dual damascene layer for global surface planarization. The novel concept is thin planarization layer with bottom anti-reflecting (BAR) function. This planarization layer with optical performance is materialized by UV crosslink materials and process. This concept is expected to lead to a simpler planarization process. Thin planarization layer with BAR function clear BARC layer and simplifies the etching process. Our study showed that the planarization performance of UV crosslink layer with 100nm thickness was 20nm thickness bias between the field area and dense via hole area. This thickness bias achieved the requirement of hp 45nm generation. Furthermore, fine resist pattern was resolved on the planarization layer by the optimization of acid components and additive.
Contact analysis studies of an ESCAP resist with scCO2 compatible additives
Abhinav Rastogi, Gregory N. Toepperwein, Manabu Tanaka, et al.
Rapid technological advances have presented several environmental issues and call for the use of environmentally friendly processes. Supercritical carbon dioxide (scCO2) is a widely used solvent that can enhance processing performance in photolithography, especially in the development step. Supercritical CO2 is a good solvent for many nonpolar molecules with low molecular weights. However, it is generally a very poor solvent for high molecular-weight photoresists. Recently researchers have reported the ability to develop conventional polymeric resists in scCO2 using tailored soluble additives. The mechanism of dissolution of a polymer photoresist in scCO2 in the presence of these additives is clearly at a very early stage of understanding. To understand this mechanism in more detail, we synthesized a series of scCO2 compatible quaternary ammonium salts (QAS) and used them as additives in the dry development of model and commercially available photoresists. In this paper, we describe the various interactions that the QAS additive has with the different functional groups on the polymer resist to assist its dissolution in scCO2. Using contact analysis plots we report the dominant interactions between the additive and the resist material. We report the results with two salts (QAS-I and QAS-II) to show the structure-property relation of these amphiphilic additives with an ESCAP resist. Finally, we report the lithographic evaluation of a commercial EUV resist using an appropriate scCO2 compatible QAS after development in scCO2.