Proceedings Volume 6519

Advances in Resist Materials and Processing Technology XXIV

cover
Proceedings Volume 6519

Advances in Resist Materials and Processing Technology XXIV

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 15 March 2007
Contents: 20 Sessions, 139 Papers, 0 Presentations
Conference: SPIE Advanced Lithography 2007
Volume Number: 6519

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 6519
  • Invited Session
  • Materials and Processes for Immersion Lithography I
  • Materials and Processes for Immersion Lithography II
  • Resist Materials
  • ARC/Multilayer Process
  • Resist Processing
  • Resist Processes and Simulation
  • Resist Fundamentals
  • LER
  • NGL
  • Novel Processes/Applications
  • Joint Session with Conference 6517 on EUV Resists
  • Poster Session: Materials and Processes for Immersion Lithography
  • Poster Session: Resist Materials
  • Poster Session: ARC/Multilayer Processes
  • Poster Session: Resist Processing Technology
  • Poster Session: Resist Fundamentals and Simulation
  • Poster Session: NGL
  • Poster Session: Novel Processes and Applications
Front Matter: Volume 6519
icon_mobile_dropdown
Front Matter: Volume 6519
This PDF file contains the front matter associated with SPIE Proceedings Volume 6519, including the Title Page, Copyright information, Table of Contents, Introduction, and the Conference Committee listing.
Invited Session
icon_mobile_dropdown
Identifying materials limits of chemically amplified photoresists
Chemically amplified photoresists are likely to remain the primary imaging materials for the semiconductor industry. As feature sizes decrease to dimensions comparable to the characteristic size of the molecules in the photoresist, a significant challenge lies in identifying the ultimate resolution limit of these materials. To address this challenge, we investigated model photoresist materials with high resolution measurements to examine the effect of individual factors among interdependent process steps on line-edge roughness (LER). Using a bilayer film sample geometry, we measured the internal deprotection interface with nanometer resolution as a function of photoacid size, initial resist copolymer composition, and amine base quencher by neutron reflectivity and infrared spectroscopy. After development, we found that the resist chemistry and additives can play an important role in LER through its influence on acid diffusion. However, these model experiments suggest that there is a limit in LER even with an idealized exposure image contrast and decreases in the width of the reaction-diffusion front. However, there may be opportunities to further decrease LER during development by tuning the response of the photoresist to the developer solution.
Emerging patterning materials: trends, challenges, and opportunities in patterning and materials by design
Patterning technology is entering the nanomaterials era. Breakthrough advances in the basic sciences over the last twenty years are catalyzing novel material and assembly options. In the near future, these options may warrant consideration for fabricating advanced information processing technologies. However, material technology advances alone are not sufficient to induce changes and chemical substitution in manufacturing. In fact, manufacturing technology will change only when no other option exists. Therefore, the concurrent trend in lithographic challenges is noteworthy. Recent revisions of the International Technology Roadmap for Semiconductors (ITRS) indicate that it is becoming increasingly difficult for mainstream lithographic technologies to satisfy projected ITRS dimensional scaling requirements, shown in Table 1.
Materials and Processes for Immersion Lithography I
icon_mobile_dropdown
Fluoro-alcohol materials with tailored interfacial properties for immersion lithography
Immersion lithography has placed a number of additional performance criteria on already stressed resist materials. Much work over the past few years has shown that controlling the water-resist interface is critical to enabling high scan rates (i.e. throughput) while minimizing film pulling and PAG extraction (i.e. defectivity). Protective topcoat polymers were developed to control the aforementioned interfacial properties and emerged as key enablers of 193 nm immersion lithography. Achieving the delicate balance between the low surface energies required for high water contact angles (generally achieved via the incorporation of fluorinated groups) and the base solubility required for topcoat removal is challenging. More recently, additional strategies using fluoropolymer materials to control the water-resist interface have been developed to afford topcoat-free resist systems. In our explorations of fluoroalcohol-based topcoat materials, we have discovered a number of structure-property relationships of which advantage can be taken to tailor the interfacial properties of these fluorinated materials. This paper will address the effect of structure on immersion specific properties such as water contact angle, aqueous base contact angle, and dissolution rate.
Development of non-topcoat resist polymers for 193-nm immersion lithography
Naoko Shirota, Yoko Takebe, Shu-Zhong Wang, et al.
Recently it is known well that blending hydrophobic additives into conventional resist polymer drastically improve its film surface hydrophobicity. So we thought that this approach was one of candidates and most promising to achieve a non-topcoat resist process for immersion lithography. And it would be able to maintain original resist performance because only a small amount of additives were added into conventional resist. Then we have investigated hydrophobic polymers for use as additives of non-topcoat resists. We have newly successfully synthesized various new highly fluorinated monomers by our peculiar fluorination process. We found that some specific methacrylate ,which have perfluorinated cyclic structure, showed excellent hydrophobicity. The other hydrophobic candidates is our fluoropolymer, FUGU, which had already developed, having partially fluorinated monocyclic structure. However its hydrophobicity is insufficient due to presence of acidic hydroxyl group which act as dissolution unit into the developer. To improve the its hydrophobicity, we protected all or a part of its hydroxyl group. The protected FUGU polymer provide good hydrophobicity whose sliding angle (S.A.) and receding angle (R.A.) were 7 degree and 90 degree respectively , compared to original polymer, FUGU. In this paper, we describe a characteristics and evaluation of these our hydrophobic polymers to apply to additives for non-topcoat resists. We have optimized these polymers to apply to additive for conventional resist. As a result, various kinds of additives were obtained. For example, some of them dissolve in developer due to the presence of alkali soluble group in the polymer, the others are soluble in developer after deprotection reaction by post exposure bake. We call the former one is 'top-coat type', the latter is 'resist type'. Two type additives were investigated to give the hydrophobicity and to depress the leaching amount to conventional resist.
Building an immersion topcoat from the ground up: materials perspective
Mahmoud Khojasteh, Irene Popova, P. Rao Varanasi, et al.
Over a period of last several years 193 nm immersion lithography from a remote and unlikely possibility gradually became a reality in many fabrication facilities across the globe and solid candidate for high volume manufacturing for the next generation technology node. It is being widely understood in the industry that top-coatless resist approach is a desirable final stage of the immersion process development. However creating low-defect high performance top-coatless resist materials requires understanding of the fundamental material properties of the top layer, responsible for leaching suppression, immersion fluid meniscus stability, and in this way enabling high speed low-defect scanning. While a lot of progress has been made in implementing specific top coat materials into the process flow, clear understanding effects of the top coat properties on the lithographic conditions and printing capability is still lacking. This paper will discuss top coat materials design, properties and functional characteristics in application to novel fluoroalcohol polymer-based immersion top coat. We have used our fluoroalcohol based-series designs (titled MVP top coat materials further on in the paper) as a test vehicle for establishing correlations between top coat performance and its physical and chemical properties including hydrophobicity, molecular weight/dispersity etc. Effects of polymer-solvent interactions on the contact angle and characteristics of the top coat material are explored, providing valuable understanding transferable to design of new generation top coats and top-coatless materials. Our resultant new designs demonstrated excellent lithographic performance, profiles and low leaching levels with commercially available resist and high receding contact angles, comparable to the commercial top coat materials.
Novel materials design for immersion lithography
Kenji Wada, Shinichi Kanna, Hiromi Kanda
The technology of 193nm immersion lithography has been progressing rapidly toward half-pitch 45 nm generation device manufacturing. However, some intrinsic issues, the photoacid leaching and the watermark defect have remained in the immersion process. Most of approaches to overcome them were the introduction of cover coating materials (top coat) onto the resist film. Recently, we have established the non-top coat resist using novel two kinds of materials, a low leaching PAG (PhotoAcid Generator) and a surface modifier. The hydrophobic photoacid generated from the low leaching PAG decomposes by heating, and the acid migration changes to reduce the line-width roughness (LWR). The surface modifier behaves as builded-topcoat by the distribution around resist surface and enhances the surface hydrophobicity. Herein we propose the concept of novel PAG and surface modifier for immersion lithography.
Materials and Processes for Immersion Lithography II
icon_mobile_dropdown
Novel high-index resists for 193-nm immersion lithography and beyond
Idriss Blakey, Lan Chen, Bronwin Dargaville, et al.
A preliminary Quantitative Structure Property Relationship (QSPR) model for predicting the refractive index of small molecules and polymers at 193 nm is presented. Although at this stage the model is only semiquantitative we have found it useful for screening databases of commercially-available compounds for high refractive index targets to include in our program of synthesis of high refractive index resist polymers. These resists are targeted for use in 2nd and 3rd generation 193 nm immersion lithography. Using this methodology a range of targets were identified and synthesized via free radical polymerization. Novel resist polymers were also synthesized via Michael addition polymerization. Preliminary dose to clear experiments identified a number of promising candidates for incorporation into high refractive index resist materials. Furthermore, we have demonstrated imaging of a high index resist using water-based 193 nm immersion lithography.
Screening of second-generation high-index liquids
A series of experiments were designed to probe the interaction between second generation High Index Liquids (HIL, n=1.65) and the resist stack. Three different second-generation high index liquids were tested in five experiments: measurement of the contact angle of the liquid with the resist surface; leaching of Photo-Acid Generator (PAG) into the liquid; residue analysis of droplets evaporated from the resist surface; impact of liquid soaking on resist profiles; and imaging through high-index liquids at 72nm pitch. The selected liquids were the main candidates from two potential vendors. In parallel, tests have also been done for water. The tests show that one of the main differences between highindex liquids and water is their much smaller contact angles on the organic photoresist films. This contact angle can be influenced by a topcoat, but currently seen contact angles may force a new immersion hood concept. Imaging was not affected strongly by the high-index liquids. For some liquids, low evaporation rates and a tendency to leave residue on resist were observed, which may require a dedicated liquid removal strategy to reduce defectivity.
High-refractive index material design for ArF immersion lithography
Taiichi Furukawa, Takanori Kishida, Takashi Miyamatsu, et al.
High-refractive-index fluids (HIFs) are being considered to replace water as the immersion fluid in next generation 193nm immersion scanner. At SPIE 2006, we have demonstrated the attractive optical properties and good imaging performance for our HIF candidates, HIL-001 and HIL-002. In this paper, we will describe our latest results on the remaining issues for the practical application of HIF candidates, as well as introduce 3rd generation fluids for the further extension of ArF immersion lithography. In order to improve the fluid transparency, we have tried two approaches. One is the improvement of transparency for HIL-001 based on a refining technology and the other is to develop a novel HIF candidate by using computational chemistry, which is named HIL-203. By passing through a suitable refining unit, HIL-001 can reach a transmittance of >99%/mm, which is as high as water. This new purification method can be applied to an on-site reuse system. It was also found that the refining unit was very effective to eliminate the impurities coming from the photo-degradation of HIL, chemical substances contamination under the air exposure, and leaching of resist components such as photo-acid generator or quencher. We have developed a new fluid for 3rd generation immersion fluids. It had a higher refractive index than that of HIL-001 or HIL-203; however, it still falls short of our target value. Additionally, by using a novel design concept, we have developed a top-coat with high refractive index for HIL immersion lithography, which gave an appropriate contact angle for scanning exposure.
Adapting immersion exposure to mass production by adopting a cluster of novel resist-coating/developing and immersion-exposure equipment
Tomoharu Fujiwara, Jun Ishikawa, Tadamasa Kawakubo, et al.
The demand for even denser semiconductor devices is driving efforts to reduce pattern dimensions in semiconductor lithography. It has been found that 193-nm immersion lithography technology can achieve smaller patterns without having to modify the infrastructure technology of existing state-of-the-art 193-nm dry lithography. This has made 193-nm immersion lithography a promising technology for next-generation mass production processes. It is now under full-scale development and is about to enter a commercial stage applicable to mass production. In 193-nm immersion lithography, the space between the optical projection system and silicon wafer is filled with liquid thereby immersing the resist film in de-ionized water during exposure. This generates a number of concerns, such as the penetration of moisture into the resist, the leaching of resist components into deionized water, and the presence of residual moisture, all of which can lead to defects that can affect post-processing. It has been reported; however, that rinse processing before and after exposure can be effective in reducing such defects [1]. Also, the trend toward finer patterns has resulted in large aspect ratios that can lead to pattern collapse, but the application of a surfactant has been found to ease this problem. Controlling the drying process after rinsing has also been found to reduce residue adhering to the resist. The stability of process data is considered to be a crucial factor in the adapting of 193-nm immersion lithography to mass production. In this report, we obtain long-term data on defects and critical dimension (CD), examine this data for process stability, and discuss the applicability of 193-nm immersion lithography to mass production.
Immersion defectivity control by optimizing immersion materials and processes
Katsushi Nakano, Hiroshi Kato, Soichi Owa
Volume production of 55nm node device using Nikon immersion lithography tool, S609B, has started. One very important issue for volume production immersion lithography is defectivity control. The mainstream resist process for the initial stage of immersion is to use a topcoat, because chip manufacturers can continue to use conventional resist whose lithographic properties are well characterized. On the other hand, because of its simplicity and cost effectiveness, a topcoat-less resist process is an attractive candidate for the second stage of immersion lithography. Intensive study and development of topcoat-less resist is being done by resist vendors. One unexpected benefit of topcoat-less resist is it is much easier to increase hydrophobicity than developer soluble topcoat. For defectivity analysis of both topcoat and topcoat-less process, a dedicated immersion cluster comprised of a volume production immersion exposure tool, S609B, with NA of 1.07 and a resist coater-developer LITHIUS i+ (TEL) is used. Excellent defectivity data obtained using various materials and processes are shown in this paper. Understanding the root cause of defects is very important to control them. Defect source analysis (DSA) revealed particles cause many types of defects. Interesting correlations between particles and other topcoat defects and resultant pattern defects are shown in this paper. In the immersion resist process, wafer edge condition is one key issue. Using topcoat-less resist, wafer edge condition and its defectivity dependency is discussed and analyzed.
Measurement and evaluation of water uptake by resists, top coats, stacks, and correlation with watermark defects
With immersion lithography approaching the insertion in production, watermarks remain as one of the main concerns for immersion specific defects. They require special attention because of their size and associated high kill-ratio, and their increasing occurrence at higher scan speeds. IMEC has been working to understand the underlying mechanism of why remaining water droplets cause these defects. This work focuses on water uptake measurements and how this parameter correlates to watermark defectivity. Ellipsometric Porosimetry (EP) is used to measure the water uptake tendencies of resist and top coat materials and stacks thereof, and investigate what parameters are affecting it. The influence of material and process parameters and the presence of a top coat on water uptake by the resist are evaluated. In parallel, the quartz crystal microbalance (QCM) technique has been used as an alternative option to measure the water uptake. Though a one-to-one comparison between the results is not straightforward, the main trends are identical for both techniques. No perfect correlation of watermark defectivity with water uptake has been found in this study. Nevertheless, the results show a tendency towards higher watermark sensitivity with higher water uptake by the film. It is recognized that the total watermark defectivity is most probably a complex interplay of different parameters with water uptake being only one of them.
Resist Materials
icon_mobile_dropdown
High-performance 193-nm photoresists based on fluorosulfonamide
Wenjie Li, Kuang-Jung Chen, Ranee Kwong, et al.
The combination of immersion lithography and reticle enhancement techniques (RETs) has extended 193nm lithography into the 45nm node and possibly beyond. In order to fulfill the tight pitch and small critical dimension requirements of these future technology nodes, the performance of 193nm resist materials needs to further improve. In this paper, a high performance 193nm photoresist system based on fluorosulfonamide (FSM) is designed and developed. The FSM group has good transparency at 193nm. Compared to the commonly used hexafluoroalcohol (HFA) group, the trifluoromethyl sulfonamide (TFSM) functionality has a lower pKa value and contains less fluorine atoms. Polymers containing the TFSM functionality have exhibited improved dissolution properties and better etch resistance than their HFA counterparts. Resists based on the FSM-containing polymers have shown superior lithographic performance for line, trench and contact hole levels under the 45nm node exposure conditions. In addition, FSM resists have also demonstrated excellent bright field and dark field compatibility and thereby make it possible to use one resist for both bright field and dark field level applications. The structure, property and lithographic performance of the FSM resist system are reported.
Novel diamantane polymer platform for enhanced etch resistance
The dominant current 193 nm photoresist platform is based on adamantane derivatives. This paper reports on the use of derivatives of diamantane, the next higher homolog of adamantane, in the diamondoid series, as monomers in photoresists. Due to their low Ohnishi number and incremental structural parameter (ISP), such molecules are expected to enhance dry etch stability when incorporated into polymers for resist applications. Starting from the diamantane parent, cleavable and non-cleavable acrylate/methacrylate derivatives of diamantane were obtained using similar chemical steps as for adamantane derivatization. This paper reports on the lithographic and etch performance obtained with a number of diamantane-containing monomers, such as 9-hydroxy-4-diamantyl methacrylate (HDiMA), 2-ethyl-2- diamantyl methacrylate (EDiMA), and 2-methyl-2-diamantyl methacrylate (MDiMA). The etch advantage, dry and wet lithographic performance of some of the polymers obtained from these diamantane-containing polymers are discussed.
Hybrid optical: electron-beam resists
Combining optical and electron beam exposures on the same wafer level is an attractive approach for extending the usefulness of current generation optical tools. This technique requires high-performance hybrid resists that perform equally well with optical and e-beam tools. In this paper Rohm and Haas EPICTM 2340, a 193-nm chemically amplified photoresist, is used in a hybrid exposure role. The e-beam tool was used to pattern 45 nm half-pitch features and a 193- nm immersion stepper was used to pattern 60-nm half-pitch features in the same resist layer. The effects of processing parameters and delay times were investigated.
Evaluation of immersion lithography processes for 55-nm node logic devices
Taisaku Nakata, Teruhiko Kodama, Motofumi Komori, et al.
We have investigated relationship between immersion topcoats and water, and between topcoats and ArF resist films for the use in ArF immersion lithography from the stand point of the work of adhesion characteristics. We evaluated surface free energy of topcoat films and resist films each from the contact angle measurement. From values of measured free energy, we obtained work of adhesion between topcoats and water, and between topcoats and resist films. In addition, we calculated an interfacial free energy between topcoats and resist films, which is related to the interface stability. As a result of evaluation of the interfacial free energy of four different kinds of topcoats, the topcoat which has lower surface free energy was found to have lower work of adhesion between topcoat and water, and lower interfacial free energy between a topcoat and a resist. These results indicate that the topcoat which has lower surface free energy has more less interaction between water and topcoat and stabile interface between a topcoat and a resist film.
Photo-deprotection resist based on photolysis of o-nitrobenzyl phenol ether; challenge to half-pitch 22 nm using near-field lithography
T. Ito, A. Terao, Y. Inao, et al.
We propose a non chemically-amplified positive-tone photoresist based on photolysis of o-nitrobenzyl phenol ether (NBP). The increase in the amount of the phenolic hydroxyl group just after the exposure to the i-line propagation light is observed via IR spectroscopy. Using near-field lithography (NFL) combined with the NBP, we form half-pitch (hp) 32 nm line and space (L/S) patterns with lower line edge roughness (LER) than those of a chemically amplified resist (CAR). The high-resolution feature of the NBP is attributed to the photoreaction system without the acid diffusion, which is inherently involved in CARs, although the NBP requires six times as much exposure dose as the CAR does. A Hp 32 nm L/S patterns with 10 nm depths are successfully transferred to the 100 nm thick bottom-layer resist through the tri-layer resist process. Hp 22 nm L/S patterns with 10 nm depths are also fabricated on the top portion of a single-layer of NBP.
ARC/Multilayer Process
icon_mobile_dropdown
Enhancing photoresist performance with an adhesion promoting photo-acid generator
Concentration gradients of photoacid generator through the thickness of the photoresist film can profoundly affect the material's performance. To engineer the acid concentration through resist thickness, we have developed a new type of resist adhesion promoting layer that incorporates photo acid generator chemistry. These adhesion promoting photo acid generators, called as a class "APPAG" enhance acid concentration at interface between the resist and the substrate. We will provide an overview on the preparation and characterization of two siloxane based APPAG materials along with a performance comparison of commercial DUV, EUV and E-beam photoresists on APPAG. Nonaflate analog (APPAG 6) with shorter acid diffusion length was found to have a mild impact on 250nm node DUV lithography. However the triflate analog (APPAG 9), owing to the larger acid diffusion length, was shown to provide a greater influence. APPAG 9 was found to give nearly a 50% improvement in depth of focus. For EUV lithography, both APPAG 6 and APPAG 9 will be shown to substantially improve performance envelope for 100nm dense lines and spaces and at reduced post exposure bake (PEB) temperatures. This indicates that this approach can be used to gain margin at reduced PEB which is desirable to minimize thermally driven diffusion effects. Thus the materials represent an important new approach to extending photoresist performance margins.
Second-generation radiation sensitive developable bottom anti-reflective coatings (DBARC) and implant resists approaches for 193-nm lithography
We will discuss our approach towards a second generation radiation sensitive developable bottom antireflective coating (DBARC's) for 193 nm. We will show imaging results (1:1 L/S features down to 140 nm) for some first generation implant resist material based upon a fluorinated resins and also show relative implant resistance of these first generation fluorinated resists towards As implantation (15 KeV at 5x1015 dose with 20 x 10-4 amp). Also, discussed will be a second generation of implant resists based on a non-fluorinated resins. Surprisingly, we found that the nonfluorinated materials gave better implant resistance (~2-3 X1011 atoms/cm2) despite the higher atomic number of fluorine compared to hydrogen in the fluorinated implant materials (~2-5X1012 atoms/cm2). Finally, we will give an update on the lithographic performance of this second generation of implant resists.
Spin-on trilayer approaches to high NA 193nm lithography
D. J. Abdallah, D. McKenzie, A. Timko, et al.
New challenges face ArF bottom antireflection coatings (BARCs) with the implementation of high NA lithography and the concurrent increase use of spin-on hard masks. To achieve superior reflectivity control with high NA at least two semi-transparent ARC layers, with distinct optical indices, are necessary to effectively lower substrate reflectivity through a full range of incident angles. To achieve successful pattern transfer, these layers in conjunction with the organic resist, should be stacked with an alternating elemental composition to amplify vertical resolution during etch. This will circumvent the inherent low etch resistance of ArF resist and the decreasing film thicknesses that accompanies increasing NA. Thus, incorporating hard mask properties and antireflection properties in the same two layer system facilitates pattern transfer as a whole rather than just enhancing lithography. As with any material expected to exhibit multiple roles there is a delicate balance between optimizing materials with respect to one of its roles while not impairing its other roles. We will discuss some of these conflicts and present Si-BARCs and carbon rich underlayers which aim to balance these conflicts. In this paper we will explore simulations aimed at finding the best film thicknesses and optical indices, etch rate selectivity, and lithographic performance of high silicon content and high carbon content BARC materials designed to meet the demands of both high NA lithography and trilayer processing.
Progress of hard mask material for multi-layer stack application
Hisanobu Harada, Koji Yonemura, Takeshi Tanaka, et al.
Multi-layer stack application will be established at manufacturing process beyond 65nm node. Multi-layer stack application means laminated layer like a Photoresist, Si contained hard mask, and Carbon hard mask on substrate. Multilayer stack application can be solved anti-reflection at hyper NA (that means more than 1 numerical aperture) and less etching resistance in thinner film resist, so Multi-layer stack application is required for 193nm immersion lithography process. And criteria of our material in Multi-stack application are spin-on and drain compatible type. In this report, we will discuss about Spin-on Si-contained hard mask and spin-on carbon hard mask criteria, our experiment and results to solve issue. Spin-on Si-contained hard mask is required 3-factors that is unti-reflection from substrate at hyper NA conditions, resist matching, and higher etching resistance. It is general that higher Si-content ratio in based polymer can't be matched with current 193nm photoresist. But Lower Si-contained Hard Mask can't be resisted by dry etching. In this report, we will discuss about our material approach for good resist matching (no footing issue) without reduction of Si-content ratio, pattern transfer ability by dry etching, and reflectivity simulation results at Hyper-NA condition. LWR issue after dry etching is key factor of Multi-layer stack application. We estimate that composition of based polymer in carbon hard mask material and film density in carbon Hard Mask is relative to LWR issue after dry etching. In this report, we will discus about our material approach for less LWR issue after dry etching.
Silicon-based anti-reflective spin-on hardmask materials with improved storage stability for 193-nm lithography
As the feature sizes of integrated circuits shrink, thinner photoresist coating should be used in order to avoid high aspect ratio which can cause pattern collapse. Especially for 193 nm lithography, photoresist coating is too thin to subsequent etching step. One of the solutions to this problem is using hardmasks which have good etch selectivity to adjacent layers. In this paper, silicon-based anti-reflective spin-on hardmasks (Si-SOH) are described. One of the major problems of silicon based polymers in the hardmask compositions is poor storage stability because silanol group is reactive enough to condense each other, which can instigate molecular weight increase to yield gel-type particles. The storage stability of our hardmask materials have been improved by thermodynamically controlled synthesis and reactive mask strategy. Especially the reactive masked silanol groups can take part in crosslinking reaction under the process conditions without additional deprotection step. Although this strategy could encounter intermixing problems with other layers, we can produce silicon-based hardmasks without any deleterious effects. These hardmasks show antireflective properties and great etch selectivity to both photoresists and organic hardmasks (C-SOH).
Novel developers for positive tone EUV photoresists
Geeta Sharma, Shalini Sharma, Michael Rattner, et al.
While much work has been done in the design of photo resist for EUV lithography, these materials have typically been optimized for so called "standard developer" i.e., 2.38% tetra methyl ammonium hydroxide. However we felt that it would be reasonable to consider specifically the developer as opposed to the resist design. Indeed it has been suggested that the polarity and cation size in developer are important positive tone resist performance. It is our hypothesis that a base that could wet and penetrate faster into partially deprotected resist could result in a faster photo speed, and thus make more process margin available for resist design; for example a slower system incorporating higher quencher loadings. Additionally, we sought to probe the effects of solvent polarity with varying amounts of non-aqueous solvent additive. By reorganization of the nascent solvent shell with the non aqueous additives, we sought to perturb the development kinetics and thus change the resist's performance envelope by accelerating photo speed and potentially increasing contrast. This approach has been applied to non chemically amplified resist to good effect. In the three positive tones EUV and a 193nm photo resist was evaluated with the prototype developers we found that the performance was profoundly impacted by these two probes (i.e. solvent polarity and cation hydrophobicity).
Resist Processing
icon_mobile_dropdown
The application of high-refractive index photoresist for 32-nm device level imaging
The lithography prognosticator of the early 1980's declared the end of optics for sub- 0.5&mgr;m imaging. However, significant improvements in optics, photoresist and mask technology continued through the mercury lamp lines (436, 405 & 365nm) and into laser bands of 248nm and to 193nm. As each wavelength matured, innovative optical solutions and further improvements in photoresist technology have demonstrated that extending imaging resolution is possible thus further reducing k1. Several author have recently discussed manufacturing imaging solutions for sub-0.3k1 and the integration challenges. Our industry will continue to focus on the most cost effective solution. What continues to motivate lithographers to discover new and innovative lithography solutions? The answer is cost. Recent publications have demonstrated sub 0.30 k1 imaging. The development of new tooling, masks and even photoresist platforms impacts cost. The switch from KrF to ArF imaging materials has a significant impact on process integration. This paper will focus on the need to increase the refractive index of ArF photoresist systems to enhance process capability for ultra-high NA's that are near the limitation of the immersion fluid. Data will be presented demonstrating the impact of higher refractive index photoresist systems have on the further extension of ArF Immersion. Advanced RET's will be incorporated to further explore improvements in critical imaging levels along with dominant mask effects.
Performance of chemically amplified resists at half-pitch of 45 nm and below
The chemically-amplified resists have been exposed by hyper-NA 193nm immersion and EUV lithography. Patterns with 45nm half-pitch and below are investigated for process windows and line-edge roughness. Although the 193nm immersion and EUV lithography have totally different optics, an overlap of the resolution capability is clearly observed around 45nm half-pitches. Both lithographic processes show comparable process windows for 45nm dense lines. The 193i resist better responds to its aerial image than that of the EUV resist. Although the EUV tool has the resolution capability down to 20nm half-pitch, immature resist process limits the current resolution to 35nm half-pitch.
Evaluation of ArF lithography for 45-nm node implant layers
T. C. Bailey, J. Maynollo, J. J. Perez, et al.
Scaling of designs to the 45nm or future nodes presents challenges for KrF lithography. The purpose of this work was to explore several aspects of ArF lithography for implant layers. A comparison of dark loss seen in a KrF resist and TARC system to that seen in an ArF system showed significant differences. While the KrF resist yielded dark loss that varied with CD and pitch, the ArF resist showed very little dark loss and no significant variation through the design space. ArF resist were observed to have marginal adhesion to various substrates. Improvements in adhesion performance were shown by pre-treating the substrate with various processes, of which an ozone clean provided the best results. Optimization of the HMDS priming conditions also improved adhesion, and it was observed that the HMDS reaction proceeds at different rates on different subsatrates, which is particularly important for implant layers where the resist must adhere to both Si and SiO2. The effect of ArF resist profile with varying reflectivity swing position is shown, and some investigation into reflectivity optimization techniques was performed. Low-index ArF TARC was shown to reduce the CD variation over polysilicon topography, and wet developable BARC was demonstrated to provide consistent profiles on both Si and SiO2 substrates. Finally, a comparison of ArF and KrF resists after As implant indicates that the ArF resist showed similar shrinkage performance to the KrF resist.
Characterization of photo-acid redeposition in 193-nm photoresists
Thomas Wallow, Marina Plat, Zhanping Zhang, et al.
Time-of-flight secondary-ion mass spectrometry (TOF-SIMS) imaging is demonstrated as a sensitive qualitative method for characterizing surface acid concentrations and accompanying chemical changes at resist surfaces. We show its utility in analyzing the 'chemical flare' phenomenon associated with some chemically amplified photoresists. Two commercial 193 nm photoresists were studied: 'photoresist A' displays lithographic defects linked to chemical flare at die edges; 'photoresist B' does not. TOF-SIMS imaging of the surface of 'photoresist A' following exposure and post-exposure bake (PEB) reveals that die edge defects are well correlated with pronounced emanation of surface acid concentrations from, and blocking group depletion beyond, the die edge. Both photoresists also exhibit longer-range surface acidification that is not well correlated with lithographic effects. A plurality of evidence leads us to infer that photoacid migration from exposed to unexposed regions underlies the lithographic defects observed in 'photoresist A.'
A novel plasma-assisted shrink process to enlarge process windows of narrow trenches and contacts for 45-nm node applications and beyond
Maaike Op de Beeck, Janko Versluijs, Zsolt Tőkei, et al.
Limits to the lithography process window restrict the scaling of critical IC features such as holes (contact, via) and trenches (required for interconnects and double patterning applications). To overcome this problem, contacts or trenches can be oversized during the exposure, followed by the application of a shrink technique. In this work, a novel shrink process utilizing plasma-assisted polymer deposition is demonstrated: a polymer is deposited on the top and sidewalls of photoresist by alternating deposition and etch steps, reducing the dimension of the lithography pattern in a controlled way. Hence very small patterns can be defined with wide process latitudes. This approach is generic and has been applied to both contacts and trenches. The feasibility of the plasma-assisted shrink technique was evaluated through extensive SEM inspections after lithography, after shrink, and after etch, as well as through electrical evaluations.
Resist Processes and Simulation
icon_mobile_dropdown
A novel method for characterizing resist performance
In this paper, the use of a single Figure-of-Merit to judge resist performance with respect to line width roughness, resolution and sizing dose is proposed and evaluated. Chemically amplified photoresists used in advanced lithography nodes need to fulfill stringent requirements for a considerable number of resist and process characteristics. Along with resolution, line width roughness and resist sensitivity are important examples where the specifications have become very tight. Previously, it has been shown that resolution, line width roughness and resist sensitivity are fundamentally interdependent. Hence, when evaluating or optimizing resist performance it is very important to take these three characteristics into consideration simultaneously. We propose to combine these characteristics in a single photoresist Figure of Merit KLUP. This Figure of Merit, which is determined from sizing dose, imaging wavelength, exposure latitude, acid diffusion length, line width roughness and pitch allows for a direct comparison of very different resist formulations independent of the exposure tool used. Thus, KLUP has great potential to assist in evaluating resist performance for the next lithography nodes, for both ArF and for EUV wavelengths.
The tri-lateral challenge of resolution, photospeed, and LER: scaling below 50nm?
A simple analytical model for line-edge roughness in chemically amplified resists is derived from an accounting of stochastic fluctuations of photon ("shot noise") and acid number densities. Statistics from this counting exercise are applied to a region defined by the effective acid diffusion length; these statistics are then modulated by the slope of the image intensity to produce a value for LER. The model produces the familiar dependence of LER on aerial image (more specifically on latent image) and dose also seen in many other models and data. The model is then applied to the special case of interference imaging, for which the aerial image is a simple, known analytic function. The resulting expression is compared to experimental data at both relatively large half-pitches, shot with 257nm, and sub-50nm half-pitches shot with 13.5nm and hyper-NA 193nm. The model captures the primary scaling trends seen at the larger length scales, however at the sub-50nm problems arise. It appears that additional effects not covered by counting photons and acids are becoming increasingly important as length scales drop below about 50nm. These additional effects will require increased attention in order to improve LER in lockstep with diminishing CD and pitch.
PAG segregation during exposure affecting innate material roughness
Theodore H. Fedynyshyn, David K. Astolfi, Alberto Cabral, et al.
We have developed an improved AFM-based technique to measure intrinsic material roughness (IMR) after base development. We have found that similar results can be obtained by measuring the film roughness with a fixed develop time and variable dose to that of the previously reported interrupted development method, and thus a simple contrast curve can yield information on the innate material roughness of the exposed resists. It was found that the IMR is dependent on the PAG and the polymer employed in the resist. The IMR of the resist is also strongly dependent on the bake conditions, with increasing IMR at higher bake temperatures. Several PAGs have been identified that result in significantly lower material roughness and thus the potential for significantly reduced line width roughness in resist imaging. Evidence is presented that PAG segregation during the bake steps is responsible for increased IMR in exposed resists, presumably by increasing the dissolution rate inhomogeneity on a nano-scale level. It is also shown that the effects of PAG segregation can be mitigated by the choice of PAG and polymer for the resist.
Mechanistic simulation of line-edge roughness
Physically-based photoresist models, such as those in PROLITH, have been very successful in describing photolithography from a continuum standpoint. These models allow engineers to accurately predict the final resist CD on the wafer and to analyze process robustness. However, as the critical dimension continues to shrink, yield-limiting phenomena are observed that are related to the molecular nature and reaction kinetics of photoresist materials. An example of these phenomena is line-edge roughness (LER). In this paper, the origin of LER is hypothesized to be caused by fluctuations occurring in the initial position of the reactants, fluctuations during the exposure process (shot noise) and fluctuations occurring during thermally-induced reaction-diffusion (post-exposure bake). We have developed a lattice-based mechanistic simulator to better understand the stochastic nature of reactant initial position, the exposure step, the importance of the discrete nature of the reactants, the coupling to the deprotection kinetics and the deep complexity evident in the diffusion-limited acid-quencher reaction.
The characterization of photoresist for accurate simulation beyond Gaussian diffusion
Lei Wang, Peng Wu, Qiang Wu, et al.
With the continued shrink of integrated circuit fabrication groundrules, the achievement of good critical dimension (CD) control becomes more and more dependent on optical proximity correction (OPC). Current simulation capabilities involve a first principle aerial image simulation algorithm, such as the transmission cross coefficient (TCC) algorithm, and a resist model, which captures the dynamics of the chemical amplification and the developing process. In the past few years, it has been found that the key photolithographic parameters, such as, the exposure latitude (EL) and the mask error factor (MEF) for the dense features can be very accurately simulated by the algorithm in which the latent image are made by simple Gaussian diffusion of the aerial image. However, more detailed comparison between the simulation and experiment in isolated features, or two-dimensional features indicates that the current modeling algorithm is still not good enough. This may have resulted in the fact that even advanced model based OPC may require hundreds, even more than a thousand experimental data points for model building. In addition, the model made with such endeavor does not usually extend well beyond the minimum groundrule, which can cause sub-groundrule test structures to fail. We have studied one process parameter of the photoresists, the MEF, and we found that a single Gaussian can not explain well the CD behavior and it seems that such difference varies with different resists. The effective diffusion length of some resists are found to vary with line to space ratio within a dense pitch.
Resist Fundamentals
icon_mobile_dropdown
Direct measurement of the in-situ developed latent image: the residual swelling fraction
The spatial distribution of polymer photoresist and deuterium labeled developer highlights a fraction of material at a model line edge that swells, but does not dissolve. This residual swelling fraction remains swollen during both the in situ development and rinse steps uncovering that the final lithographic feature is resolved by a collapse mechanism during the drying step. We demonstrate that contrast variant neutron reflectivity provides a general method to probe the nanometer resolved in situ development and rinse process step.
Fundamental limits to EUV photoresist
Gregg M. Gallatin, Patrick Naulleau, Robert Brainard
Recent experimental results indicate that current resists lack the ability to simultaneously meet the 2005 International Roadmap for Semiconductors (ITRS) goals for Resolution, Line Edge Roughness (LER) and Sensitivity (RLS). This RLS tradeoff has also been demonstrated through modeling work. Here we use a model to explore the impact on the RLS tradeoff of anisotropic acid diffusion and increased quantum yield. We show that both these effects can significantly improve the RLS tradeoff.
Etch resistance: comparison and development of etch rate models
Damien Perret, Cecily E. Andes, Kap-Soo Cheon, et al.
Etch resistance and post etch roughness of ArF photoresists still remain some of the critical issues during process integration for sub-100nm technology nodes. Compared to phenyl-containing KrF polymers, methacrylate polymers commonly used for ArF lithography show weak bulk etch resistance in addition to a highly damaged surface after standard etch processes. Counter to the photoresist, the etch rates of BARC are required to be very fast to prevent degradation of the photoresist before the image has been transferred to the substrate. There are a number of etch models in the literature which attempt to describe the correlation between polymer structure and blanket etch rates. Ohnishi Parameter and Ring Parameter are the most common etch models correlating atomic and structural trends in the resist polymer and etch rates. These etch models have been tested in two ways: systematically changing the composition of a terpolymer and using polymers with different functional groups. By comparing the etch rates of this large series of polymer structures it was found that these etch models were not sufficient in describing the relationship between the atomic or structural trends in polymer with etch rates. New etch models that describe the structure property relationship and etch rate trends have been developed. These new models show a better correlation with the observed experimental results. Finally, new polymers have been developed, for both ArF and BARC applications. These materials show a significant improvement in term of etch properties.
Dissolution behavior of resist polymers studied by Quartz-Crystal-Microbalance method II
Characteristic matrix method was applied to study the anomalous Quartz-Crystal-Microbalance (QCM) data such as sequential peaks of resonance frequency and impedance. The formation of swelling layer was found to cause the broad peak of resonance frequency at the beginning of development. An impedance peak followed the frequency peak when the rigidity of the swelling layer decreased to ca. 105 Pa due to absorption of the developer. Constant thickness of a swelling layer makes double sets of sequential peaks in frequency and impedance. Continuous changes in swelling layer thickness caused a single set of peaks during development. A fast data-acquisition system for QCM was set up and showed the sampling time of 1 kHz with the accuracy of 0.5 nm. It gives good and precise information for resist of large dissolution rate and interface region between resist and substrate.
LER
icon_mobile_dropdown
Component segregation in model chemically amplified resists
John T. Woodward, Theodore H. Fedynyshyn, David K. Astolfi, et al.
We have applied chemical force microscopy (CFM) to probe the surface roughness of partially developed model resist materials in order to understand the fundamental materials properties of the resists leading to line edge roughness (LER). CFM is capable of providing simultaneous information about surface topography and chemical heterogeneity of partially developed resist films. We have used CFM to study ESCAP type resists that are used in 248 nm and extreme ultraviolet (EUV) lithography. We observe changes in both the innate material roughness and chemical heterogeneity of the resist with the introduction of photoacid generator (PAG) and with exposure and post exposure bake (PEB). We find several mechanisms by which chemical heterogeneity can contribute to increasing the innate material roughness of the resist.
FTIR measurements of compositional heterogeneities
A general approach to characterize compositional heterogeneity in polymer thin films using Fourier transform infrared (FTIR) spectroscopy has been demonstrated Polymer films with varying degrees of heterogeneity were prepared using a model chemically amplified photoresist where a photoacid catalyzed reaction-diffusion process results in the formation of methacrylic acid (MAA)-rich domains. Within these domains, the carboxylic acid groups dimerize through hydrogen bonding. FTIR measurements of the relative fraction of hydrogen-bonded versus free carboxylic groups are used to quantify the degree of compositional heterogeneity. It was shown that the degree of the spatial heterogeneity varies with changes in the deprotection level and initial copolymer composition. The degree of heterogeneity is small at very low and very high deprotection level and maximize when the deprotection level is around 0.25. Increased non-reactive comonomer content decreases the degree of heterogeneity by reducing the hydrogen bonding efficiency.
Changes in resist glass transition temperatures due to exposure
Theodore H. Fedynyshyn, Indira Pottebaum, Alberto Cabral, et al.
We have developed an AFM-based technique to measure intrinsic material roughness (IMR) after base development. Employing this technique we have deconstructed the resist into component parts and have shown that PAG is a major contributor to intrinsic material roughness. When PAG is exposed and thermal polymer deprotection is allowed to occur increased levels of IMR are present. The IMR of the resist is strongly dependent on the bake conditions, with increasing IMR at higher bake temperatures. This leads to the suspicion that the resist glass transition temperature (Tg) may be responsible for the changes in the level of IMR observed with both different PAGs, polymers and bake temperatures. We have measured the Tg in a series of model resists, both exposed and unexposed, and show the effect of changes in resist glass transition as a function of exposure dose and not the level of polymer deprotection. The Tg of the resists does not decrease with exposure or bake as may be expected, but instead is either unchanged or slightly increases. The change in Tg occurs due to exposure only with subsequent bake steps not affecting the resist Tg.
A study on the material design for the reduction of LWR
Hideaki Tsubaki, Tsukasa Yamanaka, Fumiyuki Nishiyama, et al.
It is generally believed that the chemically amplified reaction between photo-generated acid catalyst and acid labile group of polymer during post-exposure bake (PEB) process plays a critical role for the reduction of line width roughness (LWR) in ArF lithography. In this work, we revealed experimentally how large the chemically amplified reaction affects LWR, and developed a new resist system with low LWR. Aerial image contrast dependence on LWR revealed that the innate LWR in a conventional ArF photoresist, which is independent of the aerial image contrast, was 5 nm. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM. The surface roughness increased from 1.7 nm to 10.8 nm during PEB process. The half-exposed area was baked and again dissolved into organic solution, and spin-coated on Si wafer, and then developed with 2.38 % TMAH solution. The recoated half-exposed resist film caused a 60 % reduction on the surface roughness. It revealed that uniform distribution of deblocked polymer was important factor for roughness reduction. HPLC analysis indicated that distribution of acidic group formulation in the polymer was gradually extended with increasing exposure dose. A Resist system that suppresses the chemically amplified reaction successfully reduced LWR from 6.5 nm to 4.8 nm.
Line-edge roughness in 193-nm resists: lithographic aspects and etch transfer
We describe methods to determine transfer functions for line edge roughness (LER) from the photoresist pattern through the etch process into the underlying substrate. Both image fading techniques and more conventional focus-exposure matrix methods may be employed to determine the dependence of photoresist LER on the image-log-slope (ILS) or resist-edge-log-slope (RELS) of the aerial image. Post-etch LER measurements in polysilicon are similarly correlated to the ILS used to pattern the resist. From these two relationships, a transfer function may be derived to quantify the magnitude of LER that transfers into the polysilicon underlayer from the photoresist.1 A second transfer function may be derived from power spectral density (PSD) analysis of LER. This approach is desirable based on observations of pronounced etch smoothing of roughness in specific spatial frequency ranges. Smoothing functions and signal averaging of large numbers of line edges are required to partially compensate for large uncertainties in fast-Fourier transform derived PSDs of single line edges. An alternative and promising approach is to derive transfer functions from PSDs estimated using autoregressive algorithms.
Impact of line-width roughness on Intel’s 65-nm process devices
Manish Chandhok, Suman Datta, Daniel Lionberger, et al.
Line Width Roughness (LWR) is the random variation of MOS gate length along the gate width. LWR is undesirable because it degrades drive current (Ion), increases off-current (Ioff), and causes a random variation of device parameters across a die. Previously, it was determined that LWR did not impact Intel's 130 nm process devices. As device sizes shrink, the sensitivity to LWR increases, so the amount of LWR that can be tolerated in future generations needs to be re-assessed. In this paper we will present the experimental results of the effects of LWR on Intel's 65 nm process. It was found that both nominal drive current and its variation degrade with increased LWR. Additionally, Ioff increased exponentially with increased LWR. In order to maintain less than 2% degradation in Ion from LWR, the 3-Sigma % LWR should be less than 10% of the nominal final check critical dimension (FCCD). Thus, for future generations, LWR needs to scale as gate lengths decrease or else any potential benefits in increased drive current would be offset by large amounts of leakage.
NGL
icon_mobile_dropdown
Impact of curing kinetics and materials properties on imprint characteristics of resists for UV nano-imprint lithography
UV curable resist formulations for nanoimprint must satisfy criteria for cure rate, volatility, viscosity, cohesion of the cured material and release from the template in addition to being successfully imprintable. We describe an investigation of the properties of a series of formulations comprising polyhedral oligomeric silsesquioxane and selected diluents as candidates for imprintable dielectrics. Although all have low viscosity and volatility and are successfully imprinted, significant variations in cure rate, mechanical and adhesion properties with resist composition are found. The trends observed are not all predictable from the literature, indicating that formulation optimization for this application requires a focus on the fundamentals of both materials and processes.
Material design of negative-tone polyphenol resist for EUV and EB lithography
In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of &ggr;-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 &mgr;C/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 &mgr;C/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 &mgr;C/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.
Photosensitivity and line-edge roughness of novel polymer-bound PAG photoresists
Substantially improved photoresist material designs, which can provide higher photosensitivity and precise critical dimension and edge roughness control, will be required to enable the application of next generation lithography technology to the production of future sub-65 nm node IC device generations. The development and characterization of novel material platforms that solve the aforementioned basic problems with chemically amplified resists (CARs) is essential and is already one of the major subjects of modern lithography research. In that regard, we have pursued development of a variety of 193 nm and EUV CARs that contain photoacid generator (PAG) units covalently bonded directly to the resin polymer backbone. However, the detailed structure-property relationships that result from this direct attachment of the PAG functional group to the polymer have previously not yet been rigorously characterized. In this work, the lithographic properties of a polymer-bound PAG CAR (GBLMA-co-EAMA-co-F4-MBS.TPS) and its blended-PAG analog resist (GBLMA-co-EAMA blend F4-IBBS.TPS) were studied and compared. The direct incorporation of PAG functionality into the resist polymer, where the resulting photoacid remains bound to the polymer, showed improved photosensitivity, resolution, and lower LER as compared with the analogous blended-PAG resist. The improved resolution and LER were expected due to the restricted photoacid diffusion and uniform PAG distribution provided by direct incorporation of the PAG into the polymer backbone to make a single-component resist material. The ability to load higher levels of PAG into the resist provided by this PAG incorporation into the polymer, as compared to the low PAG concentrations attainable by traditional blending approaches, overcomes the sensitivity loss that should result from reduction in photoacid diffusivity and concomitant smaller acid-catalytic chain lengths. In fact, the polymer-bound PAG resist achieves a faster photospeed than the blended-PAG analog material under DUV radiation in the case of the materials reported here while still providing all of the aforementioned improvements such as the improved line edge roughness.
Novel anionic photoacid generator (PAGs) and photoresist for sub-50-nm patterning by EUVL and EBL
A new series of anionic photoacid generators (PAGs), and corresponding polymers were prepared. The thermostability of PAG bound polymers was superior to PAG blend polymers. PAG incorporated into the polymer main chain showed improved resolution when compared with the PAG blend polymers. This was demonstrated by Extreme Ultraviolet lithography (EUVL) results: the fluorine PAG bound polymer resist gave 45 nm (1:1), 35 nm (1:2), 30 nm (1:3) and 20 nm (1:4) Line/Space as well as the 50 nm (1:1),30 nm (1:2) elbow patterns.
Novel Processes/Applications
icon_mobile_dropdown
Self-aligned, self-assembled organosilicate line patterns of ~20nm half-pitch from block-copolymer mediated self assembly
Ho-Cheol Kim, Joy Cheng, Charles Rettner, et al.
We report the formation of robust organosilicate line patterns of ~20nm half-pitch on surfaces from the self-assembled lamellar phase of a diblock copolymer of polystyrene and poly(ethylene oxide), PS-b-PEO, and an oligomeric organosilicate precursor mixtures. We could control the orientation and alignment of microdomains of this hybrid to the same degree of the thin films of organic block copolymers. By controlling the surface energy of substrates using dense organosilicate, the perpendicular orientation of lamellae to the surface was achieved. Topographic prepatterns were generated by E-beam lithography and used for alignment of the line patterns from lamellar phase. Upon removing the organic component (i.e. PS-b-PEO) by thermal treatment, the organosilicate microdomains remain as periodic line patterns with global alignment on surfaces. This method gives well-aligned silicon-containing line patterns with sublithographic length scales on surface. The self-assembled organosilicate line patterns were successfully transferred into underlying silicon substrate using anisotropic plasma etching.
Gray-scale lithography of photosensitive polyimide and its graphitization
S. Akbar, E. Imhoff, F. Kub
Gray scale lithography GSL was implemented using an aqueous developing photosensitive polyimide, HD8820. Silicon carbide high kilo-volt power diodes and transistors fabrication required a tapered barrier for dopant ion implantation and annealing at elevated temperatures for formation of junction edge terminations. The GSL photomask was made by electron beam lithography 32-bit gray scale intensity profile exposure of a silver doped HEBS glass that is sensitive to electron beams but not to ultra-violet UV radiation. When the photosensitive polyimide HD8820 was exposed through the gray scale photomask in a UV lithography system, the desired polyimide tapered profile was obtained. The polyimide patterns were subsequently converted to amorphous graphite at 650°C in a nitrogen furnace. Boron and aluminum high temperature ion implantations at several energies and doses were performed and the resulting dopant profiles, characterized using secondary ion mass spectroscopy SIMS, were found to correlate well with computer simulations. The GSL tapered photosensitive polyimide can therefore serve as an effective ion implantation barrier to obtain precisely graded dopant profiles. Micro-optics components such as gratings and Fresnel micro-lenses were also successfully fabricated using gray scale lithography of the photosensitive polyimide.
A novel top surface imaging approach utilizing direct-area selective atomic layer deposition of hardmasks
Ashwini Sinha, Dennis W. Hess, Clifford L. Henderson
A novel method for performing top surface imaging (TSI) on a single polymeric photoresist film using area selective atomic layer deposition (ALD) is presented. In this method, exposure of the polymer thin film creates reactive hydroxyl sites on the film surface in the exposed areas that subsequently act as nucleation and growth sites for deposition of metal oxide features using a chemically selective ALD process. It is demonstrated that titanium isopropoxide and water can be used as ALD precursors in conjunction with a chemically amplified photoresist film, formulated using a protected polymer (poly-tertbutylmethacrylate (PtBMA)) and a photoacid generator (triphenylsulfonium tris(perfluoromethanesulfonyl) methide), to successfully perform such an area selective ALD TSI process. Using this material set and methodology, micron scale photoresist features are defined, metal oxide patterns are produced, and these patterns have been transferred through the polymer film via plasma etching. A comparison is also made between the silylation chemical contrast obtained from earlier TSI methods and the metal oxide deposition contrast obtained using the ALD TSI method. It is shown that the ALD TSI chemical contrast for metal oxide deposition can be much higher than the corresponding silylation-based silicon incorporation contrast This result translates into a unique feature of the ALD TSI process which is it can be achieved without requiring the descum etch that is commonly needed with other TSI methods based on silylation.
Novel photodefinable low-k dielectric polymers based on polybenzoxazines
Michael Romeo, Kazuhiro Yamanaka, Kazuhiko Maeda, et al.
The development of spin-on photodefinable dielectrics for use in microelectronic device fabrication and packaging has traditionally focused mainly on the use of soluble polymer precursors because the desired final dielectric polymer structure is generally insoluble in any appropriate casting solvent. One major drawback to this approach however is that high temperature processes (often >300 °C) after imaging and development are generally required to convert the imaged precursor polymer into the desired low dielectric constant material. These high processing temperatures make such materials impractical for a variety of applications. The goal of the work reported in this paper was to overcome this problem by developing new low dielectric constant polymers that can be formulated into photodefinable materials and processed at lower temperatures. In this work the use of a novel hexafluoroisopropanol (HFA)-substituted diamine to synthesize novel polybenzoxazines is reported. Whereas polybenzoxazoles form a five membered ring by situating an alcohol ortho to an amide, the benzoxazine rings in the polymer backbone described in this work are formed by the dehydration of a hexafluoroalcohol (HFA) group situated ortho to the amide to form a six membered ring. Of this general class of new polybenzoxazine amide alcohol precursor polymers, it will be shown that selected polymers exhibit good solubility in developer solutions and can be formulated into photosensitive compositions by addition of a DNQ inhibitor. Polybenzoxazine film properties including dielectric constant, thermal expansion coefficient, glass transition temperature, water absorption and dissolution rate have been measured. In particular, the dielectric constant for polybenzoxazine is reported to be as low as 2.2. In contrast to polybenzoxazoles which are known to cyclize at temperatures well above 280 °C, the new polymers reported here can be cyclized at temperatures as low as 210 °C. This significantly lower thermal cyclization temperature greatly alleviates many problems with other photodefinable dielectric polymers such as thermal stress build-up during curing and also allows these materials to be integrated with a wider variety of materials that would not survive the significantly higher processing temperature required with most current polyimides and polybenzoxazoles.
Patterning of biomolecules on a biocompatible nonchemically amplified resist
Jin-Baek Kim, Ramakrishnan Ganesan, So Young Yoo, et al.
A simple lithographic process in conjunction with a novel biocompatible nonchemically amplified photoresist material was successfully used for the patterning of biomolecules such as cells and proteins. UV light irradiation on selected regions of the nonchemically amplified resist film renders the exposed regions hydrophilic by the formation of carboxylic groups. Mouse fibroblast cells were found to be preferentially aligned and proliferated on the UV light exposed regions of the nonchemically amplified resist film, where carboxylic groups were present. For streptavidin patterning, amine-terminated biotin was linked to the carboxylic groups of the UV light exposed regions, which was further used to bind streptavidin to the UV light exposed regions.
Joint Session with Conference 6517 on EUV Resists
icon_mobile_dropdown
Resist evaluation for EUV application at ASET
Although EUV lithography has been prepared for next generation litho-technique for several years, there are still lots of obstacles on its way. Especially, phase defect from the mask, and immaturity in the resist should be solved as soon as possible because they are directly related to realizing patterns on the wafer. ASET has been focusing on these two problems, that is, the mask-related defect control and the resist screening for EUV application. In this study, we concentrate on the resist evaluation for the EUV lithography application, mainly commercial CAR (Chemically- Amplified Resist) type resist, for example, ArF resist based on polymethacrylate and KrF resist based on poly(4- hydroxystyrene) (PHS). We screened tens of resists in viewpoint of resolution, photo-speed, and LWR (Line Width Roughness). We used two METs (Micro-Exposure Tools). The one is HiNA in ASET and the other is MET in Lawrence Berkeley National Lab. (LBNL) to evaluate resist. And we used EUV masks fabricated by DNP and ASET. Some resist showed modulation on the wafer for 28nm-hp line and space pattern and some resist showed very high photo-speed about 5mJ/cm2. Photo-speed could be improved about 25% by controlling the amount of additives, PAG and quencher. However, improvement in photo-speed caused degradation in resolution. This means there are trade-off relation between resolution and photo-speed. And we also evaluated polymer-bound PAG resist, which showed new possibility for EUV resist. And we encountered unexpected problem, pattern lifting, which was solved by using bufferlayer to increase attachment force between resist and wafer surface. We conclude that polymer bound PAG resist is a good approach to lower LWR of resist for EUVL application and bufferlayer tuning and matching with resist is also needed for low LWR. The EUVL masks were fabricated by Dai Nippon Printing Co., Ltd. The HiNA set-3 projection optics were developed and provided by Nikon Corporation. This work was supported by NEDO.
Molecular glass photoresists containing photoacid generator functionality: a route to a single-molecule photoresist
Richard A. Lawson, Cheng-Tsung Lee, Robert Whetsell, et al.
A single molecule photoresist composed of tris(4-(tert-butoxycarbonyloxy)-3,5-dimethylphenyl) sulfonium hexafluoroantimonate (TAS-tBoc) was successfully synthesized and characterized. The synthesized triarylsulfonium was found to perform comparably to a commercial triphenylsulfonium triflate photoacid generator (PAG) when used purely as a PAG in blended molecular glass resist. TAS-tBoc formed excellent amorphous films when spin-coated out of solution. When exposed to 248 nm UV radiation, TAS-tBoc showed a sensitivity of 4 mJ/cm2 and a contrast ratio between 6 and 15, depending on development conditions. Its etch rate under standard silicon dioxide etch conditions was 0.87 as standardized to that of tBoc-PHOST in the same RIE plasma conditions. The outgassing level of the resist under EUV exposure was determined to be 1.08 x 1013 molecules/cm2, well below the maximum outgassing cutoff that is considered acceptable for EUV imaging. When imaged by e-beam, TAS-tBoc showed a relatively high dose-to-clear of 150 &mgr;C/cm2 as compared to conventional chemically amplified photoresists. Lines down to 50 nm wide with aspect ratios of 2.5:1 were imaged using e-beam. These lines exhibited an LER of only 3.96 nm, significantly better than the typical LER for polymeric chemically amplified resist, even when imaged using e-beam, and also one of the lowest values reported for molecular glass materials in general.
The resist materials study for the outgassing reduction and LWR improvement in EUV lithography
Seiya Masuda, Sou Kamimura, Shuuji Hirano, et al.
The continuous studies for both the outgassing reduction and the sensitivity improvement by applying low outgassing photo acid generator with a various kinds of polymer protection group were discussed in this paper. Further reduction of the outgassing segments from the resist was demonstrated to achieve the total outgassing amount below the detection limit of GC-MS (ca. less than 1E+10 molecules / cm2). Loading a large sized acetal group could be successfully reduced the amount of the outgassing segments from polymer below the tool detection limit, which would be acceptable for a high volume manufacturing tool usage. The development properties of PHS based bulky acetal polymers were measured by changing molecular weight. The high dissolution rate contrast was obtained with the bulky acetel protected low molecular weight polymer. A resolution capability study was carried out with micro exposure tool (MET) at LBNL and Albany. The correlation between LWR through CD and DOF was measured by loading various amounts of quencher. The resolution capability of newly developed EUV resist had been successfully improved by modifying both resist polymer matrix and quencher amount optimization. It was possible to obtain 27.7nm lines with MET tool, where LWR value at 35 nm L/S was 3.9 nm with reasonable sensitivity range.
An analysis of EUV-resist outgassing measurements
Optics contamination is a concern for extreme ultraviolet (EUV) lithography. To protect EUV optics, all materials used in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH and the International EUV Initiative (IEUVI) have begun a resist outgassing benchmarking experiment to compare different outgassing methodologies. Samples of the same batch of resist were sent to eight researchers. The results show a large variation of four orders of magnitude in the amount of measured outgassing products. The next steps are to correlate outgassing measurements to witness plate experiments.
Poster Session: Materials and Processes for Immersion Lithography
icon_mobile_dropdown
Contact angles and structure/surface property relationships of immersion materials
Surface properties of materials are an important, even vital, consideration in immersion lithography. Many investigations have shown that sessile drop static contact angles are not entirely useful in the design of high performance immersion lithography surfaces. We have synthesized and characterized a broad family of "hydrophobic" materials and this paper will share information on the role of molecular structure on contact angles and hysteresis of these immersion materials. Insight into the relationship between structure and contact angles for future immersion materials will be presented.
Mechanism of immersion specific defects with high receding-angle topcoat
A dynamic receding contact angle (RCA) is a well-known guideline to estimate the degree of watermark (WM) defects, which shapes circle and bridges inside of the defect and reduces with enlarging the RCA of topcoat (TC). However, our recent investigation revealed the occurrence of the circular shape defects in spite of using the TC with a large RCA, bringing about a change of line and space pattern pitch. In this paper, we clarify the origin of these defects and propose a new key factor of the dynamic surface properties of immersion-specific defects. It was found that the pitch-change defect is caused by the lens effect of the air bubbles embedded between advancing water meniscus and the TC. To well understand generation of the bubble defects, we defined the "effective" hysteresis (EH) as the hysteresis of dynamic contact angle taken the effects of water-absorption into account. An analysis with the EH indicates that the bubble defect arises from not only to the large ACA but also small amount of water uptake and the amount of water-absorption could be substituted by the dissolution rate of TC. It was demonstrated that the EH proposed is a new key factor for estimating the number of bubble defects. The EH is very useful for analyzing the bubble defects in immersion lithography. The characteristics of the bubble defect are also discussed with a focus on the structure of the polymer attached to water.
Defectivity reduction studies for ArF immersion lithography
Kentaro Matsunaga, Takehiro Kondoh, Hirokazu Kato, et al.
Immersion lithography is widely expected to meet the manufacturing requirements of future device nodes. A critical development in immersion lithography has been the construction of a defect-free process. Two years ago, the authors evaluated the impact of water droplets made experimentally on exposed resist films and /or topcoat. (1) The results showed that the marks of drying water droplet called watermarks became pattern defects with T-top profile. In the case that water droplets were removed by drying them, formation of the defects was prevented. Post-exposure rinse process to remove water droplets also prevented formation of the defects. In the present work, the authors evaluated the effect of pre- and post-exposure rinse processes on hp 55nm line and space pattern with Spin Rinse Process Station (SRS) and Post Immersion Rinse Process Station (PIR) modules on an inline lithography cluster with the Tokyo Electron Ltd. CLEAN TRACKTM LITHIUS TM i+ and ASML TWINSCAN XT:1700Fi , 193nm immersion scanner. It was found that total defectivity is decreased by pre- and post-exposure rinse. In particular, bridge defects and large bridge defects were decreased by pre- and post-exposure rinse. Pre- and post-exposure rinse processes are very effective to reduce the bridge and large bridge defects of immersion lithography.
Study on the reduction of defects in immersion lithography
ArF Immersion lithography is expected to be a production-worthy technology for sub-60nm DRAM. It gives wider process window and better CD uniformity at the cost of defects and overlay accuracy. It is generally mentioned that immersion defects are generated during exposure and removed through pre-soak and post-soak process. A lot of efforts are being made towards less defect generation during exposure and more defect removal through pre-soak and postsoak process. We have experienced a variety of immersion defects and classified them into four types: bubble defect, water mark defect (T-top & Stain), swelling defect and bridge defect (Macro & Micro). We have worked very hard to reduce each immersion defects with immersion exposure and system. In this paper, we investigate method to reduce each immersion defects: bubble, water mark, swelling and bridge through our experiment.
Modified polymer architecture for immersion lithography
Sang Soo Kim, Jeong Woo Kim, Jung Youl Lee, et al.
In the past several years, ArF immersion lithography has been developed rapidly for practical applications. ArF immersion lithography is now researched actively and developed for the purpose of implementing the 45-nm technology node. For the device designs involved immersion lithography, line width roughness (LWR) and film wettability are very important criteria to control in the point of high resolution and defectivity. Free radical polymerization in the presence of thiocarbonylthio compounds of general structure Z-C(=S)S-R provides living polymers of predetermined molecular weight and narrow molecular weight distribution by a process of reversible addition-fragmentation chain transfer (RAFT). A rationale for selecting the most appropriate thiocarbonylthio compounds for a particular monomer type is presented with reference to the polymerization of methacrylates, styrenes, acrylates, acrylamides, and vinyl acetate. In this study, resist polymers with narrow polydispersity (PD) and controlled molecular structure were prepared using controlled radical polymerization techniques, such as RAFT polymerization. PD index of polymers showed between about 1.2 to 1.4 and in some instances, between about 1.1 to 1.2 or less. Additionally, each polymer chain has a RAFT end group. That is the resulting polymer contains a chain transfer agent (CTA) moiety at each terminal end of polymer backbone. It is possible that hydrophobic CTAs can be used to decrease the hydrophilicity of resist film.
Tailoring surface properties of ArF resists thin films with functionally graded materials (FGM)
Our recent research effort has been focused on new top coating-free 193nm immersion resists with regard to leaching of the resist components and lithographic performance. We have examined methacrylate-based resins that control the surface properties of ArF resists thin films by surface segregation behavior. For a better understanding of the surface properties of thin films, we prepared the six resins (Resin 1-6) that have three types fluorine containing monomers, a new monomer (Monomer A), Monomer B and Monomer C, respectively. We blended the base polymer (Resin 0) with Resin (1-6), respectively. We evaluated contact angles, surface properties and lithographic performances of the polymer blend resists. The static and receding contact angles of the resist that contains Resin (1-6) are greater than that of the base polymer (Resin 0) resist. The chemical composition of the surface of blend polymers was investigated with X-ray photoelectron spectroscopy (XPS). It was shown that there was significant segregation of the fluorine containing resins to the surface of the blend films. We analyzed Quantitative Structure-Property Relationships (QSPR) between the surface properties and the chemical composition of the surface of polymer blend resists. The addition of 10 wt% of the polymer (Resin 1-6) to the base polymer (Resin 0) did not influence the lithographic performance. Consequently, the surface properties of resist thin films can be tailored by the appropriate choice of fluorine containing polymer blends.
Development of top coat materials for ArF immersion lithography
We have investigated higher hydrophobic developer-soluble topcoat by combination of developer-soluble unit with higher hydrophobic unit. We have already reported a series of fluoropolymers, FUGU having a partially fluorinated monocyclic structure and having acidic hydroxyl group which act as dissolution unit into alkaline solution. In addition, recently we have developed new series of highly fluorinated monomers which was expected to act as hydrophobic unit. In this paper, we describe results of co-polymersization of FUGU with these hydrophobic monomers and evaluation of them. Some of them showed good hydrophobicity keeping moderate developer solubility. Furthermore, we found that higher hydrophobic developer-soluble materials were achieved by adding small amount of highly hydrophobic polymer to developer-soluble polymer, for example FUGU, and in fact this type of blending polymer showed high hydrophobicity keeping high dissolution. We have obtained various kind of new type of topcoat materials whose receding angle varied from 70-90 degree and patterning profile without dissolution residue could be obtained by using two beam interference.
Transfer mechanism of defects on topcoat to resist pattern in immersion lithography process and effects on etching process
For the scaling down of the semiconductor design rule, 193-nm lithography technology is entering the 65-nm-node generation. In 65-nm and finer processes, the practical application of 193-nm immersion lithography is progressing due to its high numerical aperture (NA), which is achieved by using de-ionized water (DIW) as the medium between the lens and wafer in the exposure system. Immersion lithography, however, generates two main concerns: the penetration of moisture into resist film and the leaching of resist components into DIW as a result of immersing the resist film in DIW. To prevent these effects, the use of a topcoat process has been adopted, but there have been reports that defects caused by remaining droplets on the topcoat or particles can be transferred to the resist pattern and degrade resolution. Research to date has clarified the generation mechanism of defects due to water droplets, and the importance of preventing droplets from remaining is now understood. However, there are few research reports on the generation of particles, and to reduce defects caused by the immersion process. It is essential that the generation mechanism of particle-related defects on the resist pattern be clarified and that a suitable approach to reducing particles is needed. It is also known that particles on the resist pattern that acts as a mask in the dry etching process can be associated with defects in etching, which makes particle control in the process steps between lithography and dry etching all the more important. In this paper, we clarify the defect-generation mechanism on resist pattern due to particles put on topcoat and investigate the effects of such particles on the dry etching process.
Models for predicting the index of refraction of compounds at 193 and 589 nm
A simple empirical model is presented that predicts the index of refraction at 589 nm (D-line) and 193 nm for molecules based solely on chemical structure. The model was built by comparing literature values of refractive indices (sodium D-line 589 nm) of compounds with representative functionalities and has 18 adjustable parameters. Published values for nD and n193 were used to extrapolate the predictions from values of nD to values of n193. These simple, accessible models can be run using only Excel software on a laptop computer.
Outlook for potential third-generation immersion fluids
Juan López-Gejo, Joy T. Kunjappu, J. Zhou, et al.
In a search for alkane candidates for 193 nm immersion fluids, several alkanes and cycloalkanes were synthesized, purified and screened to ascertain their absorption at 193 nm, refractive index and temperature dispersion coefficient in the context of the actual application. In general, cycloalkanes, and more specifically polycycloalkanes, possess a higher refractive index than do linear alkanes. Decalin, cyclodecane, perhydrophenanthreme (PHP), perhydrofluorene (PHF) and perhydropyrene (PHPY) are examined as potential second and third generation immersion fluids. The use of perhydropyrene, which possesses a high refractive index of 1.7014 at 193 nm, may be limited as an immersion fluid because of high absorption at 193 nm. Mixtures of cycloalkanes can lead to a higher enhancement of the refractive index together with a decrease on the viscosity. Exhaustive purification of the fluids is a critical step in determining the real absorption of the different fluids at 193 nm. Two simple purification processes of these cycloalkanes were developed that led to low absorption fluids in the VUV region. The possibility of forming the oxygen complex in aerated fluids was reduced by purging samples with argon or nitrogen. This easy elimination of the oxygen complex shows the weak bonding nature of this complex.
Behavior and effects of water penetration in 193-nm immersion lithography process materials
The development of next-generation exposure equipment in the field of lithography is now underway as the demand increases for faster and more highly integrated semiconductor devices. At the same time, proposals are being made for lithography processes that can achieve finer pattern dimensions while using existing state-of-the-art ArF exposure equipment. Immersion exposure technology can use a high-refraction lens by filling the space between the exposed substrate and the projection lens of the exposure equipment with a liquid having a high refractive index. At present, the development of 193-nm immersion exposure technology is proceeding at a rapid pace and approaching the realm of mass production. However, the immersion of resist film in de-ionized water in 193-nm immersion exposure technology raises several concerns, the most worrisome being the penetration of moisture into the resist film, the leaching of resist components into the water, and the formation of residual moisture affecting post-processing. To mitigate the effects of directly immersing resist in de-ionized water, the adoption of a top coat is considered to be beneficial, but the possibility is high that the same concerns will rise even with a top coat. It has been reported that immersion-specific defects in 193-nm immersion exposure lithography include "slimming," "large bridge," "swell," "micro-bridge," and "line pitch expansion," while defects generated by dry lithography can be summarized as "residue," "substrate induced," "discoloration," and "pattern collapse." Nevertheless, there are still many unexplained areas on the adverse effects of water seeping into a top coat or resist. It is vitally important that the mechanisms behind this water penetration be understood to reduce the occurrence of these immersion-induced defects. In this paper, we use top coats and resist materials used in immersion lithography to analyze the penetration and diffusion of water. It is found that the water-blocking performance of protective-film materials used in immersion lithography may not be sufficient at the molecular level. We discuss the diffusion of water in a top coat and its effects.
Process optimization for developer soluble immersion topcoat material
Hiroki Nakagawa, Kentarou Goto, Motoyuki Shima, et al.
The 193 nm immersion lithography has been increasingly applied to the semiconductor device mass production. Topcoat material would be used in many such cases. Topcoat film can maximize the scan speed during immersion exposure step and also prevent small molecules from leaching out of resist film. However, the use of the topcoat material in the mass production affects productivity including throughput and chemical cost. To manage this problem, we attempted to improve topcoat coating process to reduce the topcoat material consumption. Using JSR NFC TCX041, the developersoluble type topcoat material, as a model material, we examined a new coating process which introduces a pre-wet treatment using a solvent which was chosen to be appropriate for this purpose. With this new coating process, we achieved 65 percent (or more) reduction of the topcoat material consumption compared with the current standard coating process (dynamic coating). From the result of film surface observations and leaching tests, it was learned that the topcoat film properties by the new coating process are equivalent to those by the standard coating process. The process performance after development also indicated good results.
Defect transfer from immersion exposure process to post processing and defect reduction using novel immersion track system
As a promising way to scale down semiconductor devices, 193-nm immersion exposure lithography is being developed at a rapid pace and is nearing application to mass production. This technology allows the design of projection lens with higher numerical aperture (NA) by filling the space between the projection lens and the silicon wafer with a liquid (de-ionized water). However, direct contact between the resist film and water during exposure creates a number of process risks. There are still many unresolved issues and many problems to be solved concerning defects that arise in 193-nm immersion lithography. The use of de-ionized water during the exposure process in 193-nm immersion lithography can lead to a variety of problems. For example, the trapping of microscopic air bubbles can degrade resolution, and residual water droplets left on the wafer surface after immersion exposure can affect resolution in the regions under those droplets. It has also been reported that the immersion of resist film in de-ionized water during exposure can cause moisture to penetrate the resist film and dissolve resist components, and that immersion can affect critical dimensions as well as generate defects. The use of a top coat is viewed as one possible way to prevent adverse effects from the immersion of resist in water, but it has been reported that the same problems may occur even with a top coat and that additional problems may be generated, such as the creation of development residues due to the mixing of top coat and resist. To make 193-nm immersion lithography technology practical for mass production, it is essential that the above defect problems be solved. Importance must be attached to understanding the conditions that give rise to residual defects and their transference in the steps between lithography and the etching/cleaning processes. In this paper, we use 193-nm immersion lithography equipment to examine the transference (traceability) of defects that appear in actual device manufacturing. It will be shown that defect transfer to the etching process can be significantly reduced by the appropriate use of defect-reduction techniques.
Polymer structure modifications for immersion leaching control
Sang Hyang Lee, Jung Woo Kim, Jeong Woo Kim, et al.
ArF Immersion lithography is the most promising technology for 45nm node and possibly beyond. However, serious issues in ArF immersion lithography for semiconductor mass production still exist. One of the issues is immersion specific defects, which are caused by photoresist component leaching and residual water droplets. In order to minimize immersion specific defects, preventing water penetration into the resist film is regarded as an important factor. Several research groups have reported that higher receding contact angle reduced defectivity. High receding contact angle of film surface prevent water penetration into the resist film due to the hydrophobic nature. Resist component leaching phenomenon also can be caused by the water penetration into the film, so hydrophobic resist can reduce leaching quantity. In this paper, to investigate chemical leaching from resist surface, we evaluated the leaching value of PAG anion and contact angles of various polymers according to their hydrophobicity. Hydrophilicity of a polymer was changed by the degree of hydrophobic group substitution to polymer chain. We measured receding contact angle with four different resists composed of water-repellent functiona group. Receding contact angle of resist surface increased as the portion of water-repellent functional group increased. Also, the leaching amount of PAG anion decreased as the receding contact angle of film surface increased. We expect that higher receding contact angle prevents chemical leaching from resist film by repelling water at the surface. We will report detailed results in this paper.
A multi-tiered approach to 193nm immersion defect reduction through track process adjustments
This paper discusses the optimization of process conditions on a 193 nm immersion lithography cluster tool to minimize defects. A 45 nm gate process was selected for optimization but a 65nm reticle was used for defect testing so that a nonimmersion baseline could be collected for comparison. Previous testing has shown defect counts and density are sensitive to rinsing of wafers before and after exposure. This sensitivity was dependent on the topcoat contact angle and resist-plus-topcoat porosity. This paper expands on that study in several ways. (1) The immersion process was simulated by scanning a develop rinse nozzle to observe, with a microscope, any peeling that could cause contamination in the exposure tool. (2) Different EBR strategies were compared to reduce defects causing by edge residue while maximizing the productive area of the wafer. (3) The appearance of some defect types was found to be related to the delay between exposure and post rinse. (4) Bake time and temperature were also added to the testing to determine if the impact to the film composition would influence the number of defects. (5) The addition of HMDS before BARC was tested as a way to control defects caused by delaminating at the edge of the wafer. The paper distinguishes between defects which are specific to the immersion process and those that would still be expected to occur in dry processing.
Formulated surface conditioners in 50-nm immersion lithography: simultaneously reducing pattern collapse and line-width roughness
Minoru Sugiyama, Masakazu Sanada, Suping Wang, et al.
With the introduction of immersion lithography into IC manufacturing for the 45nm node, pattern collapse and line width roughness (LWR) remain critical challenges that can be addressed by implementing formulated surface conditioners. Surface conditioners are capable of solving multiple issues simultaneously and are easily integrated into the post-develop photolithography process. In this paper, we assessed the impact and reported our findings using a formulated surface conditioning solution in an immersion lithography process to improve the non-pattern collapse and LWR process windows on 300mm Si wafers having 50 nm L/S features. The non-pattern collapse and LWR process window results were then compared to wafers processed using traditional developer processing methods, a DI Water (DIW) rinse. We report our findings using Focus Exposure Matrix (FEM) wafers having 50nm dense lines/spaces (L/S) and a 2.4:1 aspect ratio to determine the non-collapse and LWR process windows. An ASML TWINSCAN XT:1700TM Scanner and a 6%attPSM mask were used to pattern the FEM and LWR wafers. The wafers were then developed using an optimized developer recipe on an RF3iTM coater-developer track. Each wafer was analyzed and evaluated to determine the impact to CD and LWR with respect to the non-pattern collapse process window Formulated surface conditioners having dual capabilities, reduced pattern collapse and LWR, have demonstrated that multiple ITRS Roadmap goals can be achieved and easily implemented into standard IC processing in order to meet these challenges.
BARC (bottom anti-reflective coating) for immersion process
Yoshiomi Hiroi, Takahiro Kishioka, Rikimaru Sakamoto, et al.
193nm immersion Lithography will be installed at 45nm and beyond. For severe CD control, BARC (Bottom Antireflective Coating) has been used and this material must be used for immersion lithography. So far, we have developed several BARCs with various advantages (fast etch rate, broad resist compatibility, high adhesion, conformal...etc). Especially in an immersion process, development of BARC has to satisfy for the optical control and defectivity. The reflectivity control at Hyper NA is not same as the lower NA, because optical pass length in the BARC is not the same between low NA and High NA. In order to achieve enough etch selectivity to the substrate, hard mask materials are necessary. These under layers have absorption at 193nm. As a result of simulation, target optical parameters of next BARC should be low k value (k = ~0.25) for multi BARC stack. On the other hand, the defect issue must be decreased in the immersion process. However, the generation of many kinds of defects is suspected in the immersion process (water mark, blob defect, sublimation defect...etc). Regarding the BARC, there are also several specific defects in this process. Especially, after edge bead rinse, film peeling at edge area is one of the concerns. We researched the root cause of edge peeling and a solution for this defect. In this paper, we will discuss the detail of our BARC approach for litho performance, optical parameter, leaching, sublimation, edge peel defects and etch selectivity, and introduce new BARC for 193nm immersion lithography.
Organic ArF bottom anti-reflective coatings for immersion lithography
Zhong Xiang, Hong Zhuang, Hengpeng Wu, et al.
Substrate reflectivity control plays an important role in immersion lithography. Multilayer bottom anti-reflective coatings (B.A.R.C.s) become necessary. This paper will focus on the recent development in organic ArF B.A.R.C. for immersion lithography. Single layer low k ArF B.A.R.C.s in conjunction with multilayer CVD hard mask and dual layer organic ArF B.A.R.C. application will be discussed. High NA dry and wet lithography data will be presented. We will also present the etch rate data, defect data and out-gassing property of these new B.A.R.C. materials.
Multilayer BARCs for hyper-NA immersion lithography process
Yasushi Sakaida, Makoto Nakajima, Shigeo Kimura, et al.
Organic Bottom Anti-Reflective Coatings (BARCs) has been used in the lithography process. BARCs may play an important role to control reflections and improve swing ratios, CD variations, reflective notching, and standing waves. In 32-45nm node, application of the immersion lithography technique is not avoided to obtain the high resolution. To obtain the high resolution, numerical aperture (NA) of the optical system needs the Hyper-NA lens of 1.0 or more but come up to the problem of affections the polarized light in the Hyper-NA lens. The substrate of reflection control also will become more difficult by using single BARCs system and the thin film resist becomes the necessity and indispensable at Hyper-NA lithography. To achieve an appropriate reflection control, to suppress the CD difference to the minimum, and to prevent the pattern collapse, hard mask with the spin coating film and antireflection characteristic is needed. In order to solve these issues, we designed and developed new materials with the suitable optical parameter, square resist shape and large dry etching selectivity. These Multi-layer materials of each process are spin-coated by using the current system and conventional ArF photo resist or immersion resist is available in this process. This paper presents the detail of our newest materials for Hyper NA lithography.
Initial process evaluation for next generation immersion technology node
Tadatoshi Tomita, Kathleen Nafus, Shinichi Hatakeyama, et al.
In order to prepare for the next generation technology manufacturing, ASML and TEL are working together to investigate the process performance of the LITHIUSi+/ TWINSCAN XT:1700i lithocluster through decreasing critical dimension patterning. In this evaluation, process performance with regards to critical dimension uniformity and defectivity are compared at different critical dimensions in order to determine areas of concentration for equipment and process development. Specifically, design of experiments were run using immersion rinse processing at 60nm hp and 45nm hp. Defects were classified to generate a pareto for each technology node to see if there is any change in the defect types as critical dimensions are shrinking. Similarly, critical dimension uniformity was compared through technology nodes to see if any budget contributions have increased sensitivities to the smaller patterning features. Preliminary gauge studies were performed for the 45nm hp evaluation, as metrology at this design rule is not yet fully proven. More work is necessary to obtain complete understanding of metrology capabilities as this is crucial to discern precise knowledge of processing results. While preliminary results show no adverse impact moving forward, this work is a first screening of 45nm immersion processing and more work is needed to fully characterize and optimize the process to enable robust manufacturing at 45nm hp.
Poster Session: Resist Materials
icon_mobile_dropdown
Novel polymeric anionic photo-acid generators (PAGs) and photoresists for sub-100-nm patterning by 193-nm lithography
A series of new anionic PAGs, as well as PAG bound polymers designed for use in 193 nm photoresist materials have been synthesized and characterized. These novel materials provide optical transparency at 193 nm and also etch resistance. The fluorine substituted PAG bound polymer and PAG blend resist provided 110 nm (220 nm pitch) line/space at 11.5, 13.0 mJ/cm2, and 80 nm isolated features at 3, 1 mJ/cm2, respectively. The LER (3&sgr;) results showed the fluorinated PAG bound polymer have LER values 6.7 nm and 6.8 nm for isolated 80 nm and dense 110 nm lines respectively, which were lower than the PAG Blend polymers
Development of nanocomposite resists with high plasma etch resistance
We report about the development of novel nanocomposite resists that incorporate colloidal silica nanoparticles into conventional resist materials to yield thick coatings with both excellent lithographic properties and significantly increased plasma etch resistance. 10-50 wt% silica nanoparticles of 10-15 nm in size were dispersed homogeneously in a variety of standard resist resins by a simple process. The nanocomposite resists have similar lithographic performances to conventional resists without silica nanoparticles. The nanocomposite resists also show excellent process window capability and stability. Oxygen plasma etch and deep reactive ion etching (DRIE) processes were used to evaluate the etch resistance of the nanocomposite resists. Compared with standard photoresists, the oxygen plasma etch rate is reduced by 38-80% when the silica content increases from 20 to 50 wt%. The etch selectivity of nanocomposite resists with 40 wt% silica is increased by 70% in DRIE test.
Chemical composition distribution analysis of photoresist copolymers and influence on ArF lithographic performance
Hikaru Momose, Atsushi Yasuda, Akifumi Ueda, et al.
For getting information about the distribution of chemical composition, several model polymers were prepared under different polymerization conditions and were measured by critical adsorption point-liquid chromatography (CAP-LC). In the copolymer system of 8- and 9- (4-oxatricyclo[5.2.1.02,6]decane-3-one) acrylate (OTDA) and 2-ethyl-2-adamantyl methacrylate (EAdMA), the peak shapes of the CAP-LC chromatogram varied according to the polymerization condition although they indicated same molecular weight and averaged chemical composition. The difference of the CAP-LC elution curves was related to the chemical composition distribution of copolymers for CAP-LC measurement combined with proton nuclear magnetic resonance (1H-NMR). The terpolymers consisted of α-hydroxy-γ-butyrolactone methacrylate (GBLMA), 2-methyl-2-adamantyl methacrylate (MAdMA) and 1-hydroxy-3-adamantyl methacrylate (HAdMA) were prepared under various polymerization conditions. In the terpolymer system that had same molecular weight and average chemical composition, the solubility parameter (&dgr;) and the dissolution rate were measured. The &dgr; value and the dissolution rate curve were different among these terpolymers. It was suggested that the &dgr; value and the chemical composition distribution of these terpolymers have a significant influence on the lithographic performance.
Single component chemically-amplified resist based on dehalogenation of polymer
For chemically amplified resists which generally consists of a polymer and an acid generator, the homogeneity of resist materials is a serious issue. The incorporation of acid generators into polymers via covalent bonds has attracted much attention because it removes the compatibility problem of acid generators with polymers. In this study, we designed a single-component chemically amplified resist, taking advantage of the difference of reaction mechanisms between electron beam and photoresists. The designed resist has a hydroxyl group as a proton source and halogen atoms as an anion source for acid generation. The developed resist showed an excellent performance.
Novel 193-nm positive photoresist composed of ester acetal polymer without phenyl group
Liyuan Wang, Xiaoxiao Zhai, Yongen Huo
1,3-adamantanedicarboxylic acid and acrylpimaric acid were reacted with an aliphatic divinyl ether, 1,4-cyclohexanedimethanol divinyl ether, to give novel ester acetal polymers without phenyl group. These polymers can be dissolved in common solvents and possess high thermal stability. The ester acetal polymer can be quickly decomposed at the presence of strong acid generated by PAG above 100°C and become easily soluble in dilute aqueous base. Two-component photopolymer consisting of the ester acetal polymer and PAG can be used as positive photoresists. The polymer derived from 1,3-adamantanedicarboxylic acid displayed higher transparency at 193 nm and can be used for 193 nm photoresist.
Two-component photoresists based on acidolytic cleavage of novel ester acetal polymer
Liyuan Wang, Zhanxing Chu, Long Cheng
The reaction of acrylpimaric acid and several divinyl ether compounds, including 1,3-Bis(2-(vinyloxy)ethoxy)benzene, 2,2-bis(4-[2-(vinyloxy)ethoxy]phenyl)propane, and 1,4-Bis(2-(vinyloxy)ethoxy)benzene, can take place in the presence of organic solvents to form novel ester acetal polymer with the average molecular weight of 4000-6000(Mn) measured by GPC. These polymers can be easily dissolved in common solvents and show high thermal stability. The ester acetal polymers can be quickly acidolyzed at the presence of strong acid generated by PAG above 100oC and become easily soluble in dilute aqueous base. Two-component positive photoresists can be formed by the ester acetal polymer and PAG. The lithographic performance of the resist material composed of the ester acetal polymer and a sulfonium triflate PAG was studied on i-line exposure instrument. Clear pattern with 2 &mgr;m resolution was obtained and the photosensitivity was below 20mj/cm2.
Nonchemically amplified resists for deep-UV lithography
Ramakrishnan Ganesan, Sumin Kim, Seul Ki Youn, et al.
A novel monomer containing a diazoketo functional group was designed and synthesized. Polymers were synthesized using the diazoketo-functionalized monomer and their physical properties were evaluated. The polymers were synthesized by radical copolymerization of cholic acid 3-diazo-3-ethoxycarbonyl-2-oxo-propyl ester methacrylate, methyl methacrylate, and γ-butyrolacton-2-yl methacrylate. These polymers showed 0.7 &mgr;m line and space patterns using a mercury-xenon lamp in a contact printing mode.
Non-ionic photoacid generators for chemically amplified resists: evaluation results on the application-relevant properties
Recently we have developed and reported some novel non-ionic photoacid generators (PAGs) which generate a strong acid (perfluorobutanesulfonic acid) by light irradiation and is applicable to chemically amplified ArF photoresist, such as 2-[2,2,3,3,4,4,5,5-octafluoro-1-(nonafluorobutylsulfonyloxyimino)-pentyl]-fluorene (ONPF), 2- [2,2,3,3,4,4,4-heptafluoro-1-(nonafluorobutylsulfonyloxyimino)-butyl]-fluorene (HNBF) and so on. Here the lithographic property of ONPF in some ArF model formulations was evaluated under 193 nm dry and immersion exposure comparing one of the most typical ionic PAGs, triphenylsulfonium perfluorobutanesulfonate (TPSPB), on lithographic application-relevant properties, e.g. exposure latitude, line edge roughness (LER) and so on, by top-down view SEM observation. 80 nm line and space (L/S) patterning was successfully conducted. Additionally we investigated the striation issue with ONPF when the matrix polymer of resist was changed. It was revealed that ONPF showed better coating property in a copolymer of &ggr;-butyrolactone methacrylate, 2-ethyladamantyl methacrylate and hydroxyladamantyl methacrylate than in a copolymer of &dgr;-methacryloyloxynorbornane butyrolactone in lactone unit.
PAG distribution and acid thermal diffusion study in ultra-thick chemically amplified resist films
Medhat Toukhy, Margareta Paunescu, Chunwei Chen, et al.
The introduction of chemically amplified (CA) resist technology to thick films, 10 to 100 um in thickness introduced a number of behavior differences not experienced in thinner films to the same magnitudes. Resist image profile deformation, insensitivity to standing waves and the reduction in polymer deblocking temperatures are significantly affected in thick films to a larger extend than in thinner films. The major contributing factors to these differences are discussed in this paper: 1) the influence of photo-acid generator (PAG) structure on its distribution in resist depth on Cu substrates and 2) thermal acid diffusion, influenced by greater amounts of retained solvents in thick films than in thinner films.
Poster Session: ARC/Multilayer Processes
icon_mobile_dropdown
Study of 193nm resist degradation under various etch chemistries
The effectivity of 193nm photoresists as dry etch masks is becoming more and more critical as the size of integrated devices shrinks. 193nm resists are known to be much less resistant to dry etching than 248nm resists based on a poly(hydroxystyrene) polymer backbone. The decrease in the resist film budget implies a better etch resistance to use single layer 193nm photoresists for the 65nm node and beyond. In spite of significant improvements made in the past decade regarding the etch resistance of photoresists, much of the fundamental chemistry and physics that could explain the behaviour of these materials has to be better understood. Such knowledge is necessary in order to propose materials and etch processes for the next technology nodes (45nm and below). In this paper, we report our studies on the etch behaviour of different 193nm resist materials as a function of etch chemistry. In a first step, we focus our attention on the interactions between photoresists and the reactive species of a plasma during a dry etch step. Etch experiments were carried out in a DPS (Decoupled Plasma Source) high density chamber. The gas chemistry in particular was changed to check the role of the plasma reactive species on the resist. O2, Cl2, CF4, HBr and Ar gas were used. Etch rates and chemical modifications of different materials were quantified by ellipsometry, Fourier Transformed Infrared Spectroscopy (FTIR), and X-Ray Photoelectrons Spectroscopy (XPS). We evaluated different materials including 248nm model polymer backbones (pure PHS or functionalized PHS), and 193nm model polymers (PMMA and acrylate polymers) or resist formulations. Besides the influence of resist chemistry, the impact of plasma parameters was addressed.
Development of high-performance multi-layer resist process with hardening treatment
Yoshiharu Ono, Takeo Ishibashi, Atsumi Yamaguchi, et al.
In the manufacture of devices beyond the 45 nm node, it is important to employ a high-performance multi-layer resist (MLR) process that uses silicon containing ARC (Si-ARC) and spin on carbon (SOC). We examined an additional hardening process of SOC by H2 plasma treatment in order to improve the etching durability of the MLR. The dry etching durability of H2-plasma-hardened SOC film showed a drastic improvement, while the wiggling features of the MLR without H2 treatment observed after SiO2 etching disappeared completely. The hardening mechanism of SOC was analyzed by Fourier transform infrared spectroscopy (FTIR) with gradient shaving preparation (GSP) and Raman spectrometry. The formation of diamond-like amorphous carbon at a depth of approximately 50 nm was observed and was attributed to the improvement in the dry etching durability. In addition, the MLR stack with hardening has good reflectivity characteristics. The simulated reflectivity at the interface between the bottom of the resist and top surface of the MLR stack with hardening below 0.6% was attained over a wide range of Si-ARC thicknesses and hyper NA (~1.3) regions. The measured refractive indices of the hardened SOC film at 193 nm had a high value at the surface; however, they gradually decreased toward the inner region and finally became the same as those of untreated SOC. This might be the origin of the estimated excellent reflectivity characteristics.
Correlation between etching and optical properties of organic films for multilayer resist
E. Soda, F. Koba, S. Kondo, et al.
The correlation between the amount and rate of etching and various properties of organic film for multi-layer resist (MLR) was investigated. The etching critical dimension (CD) of 140-nm pitch interconnects is controlled by the etching conditions as well as by the properties of the organic film used as the bottom layer resist. Six organic films were tested that had different densities, hardness values, refractive indexes, and FT-IR peaks. Patterned samples of these films were exposed using electron projection lithography. The results showed amount of side etching, which effects the etching CD of interconnects, of the bottom layer depended on the etching rate of the film. In turn, the etching rate depended on a film's hardness and refractive index, but not on its density. The etching rate decreased with increasing hardness and with increasing refractive index in the visible wavelength spectrum. Consequently, the etching CD of interconnects can be better controlled by using an organic film as the bottom layer resist when the film has appropriate properties.
Rework/stripping of multilayer materials for FEOL and BEOL integration using single wafer tool techniques
As feature sizes continue to the 45nm and 32nm nodes, significant challenges will continue to arise in both front-end-of-line (FEOL) and back-end-of-line (BEOL) applications. The reduced thickness, as well as the reduced etch resistance, of the photoresist (PR) makes it nearly impossible to use the PR as both an imaging and a pattern transfer layer. This etch challenge has led device manufacturers and vendors to explore the use of multi-layer (trilayer) stacks. Multilayer stacks are typically comprised of a thick via-filling organic layer that will provide adequate etch resistance while etching into low-k and ultra-low-k dielectrics. A silicon-containing layer is then applied on top of the via-filling layer, which will provide improved imaging, as well as etch resistance for the organic layer. The PR is then applied on top to complete the multilayer stack. While many challenges have presented themselves in multilayer stacks, new challenges such as rework and cleaning have arisen. As low-k and ultra-low-k dielectrics become more prevalent, traditional oxygen ashing processes for the removal of PR and anti-reflective coatings can cause damage to the dielectric layer due to the chemical and physical structures of the materials involved. While some processes have been developed to replace damaged dielectric material during ashing and etching through silyation, alternate processes are being developed where entirely wet stripping processes can remove multilayer stacks. One advantage of an entirely wet removal process is that it can prevent damage caused by ashing or etching, and the wet stripper is developed so it does not attack the dielectric films. While an entirely wet removal process has potential advantages, it still must be proven that these processes can remove residues that are left after etch processes, sufficient removal of particles are obtained, and any material loss of the dielectric layer meets the requirements of the customer and the International Technology Roadmap for Semiconductors (ITRS). Other challenges are presenting themselves, as many customers would like to move from batch-type wet rework or cleaning processes to single wafer tool processes. It is the intent of this paper to not only identify new wet cleaning materials that can be used to remove multilayer materials by means of an entirely wet process, but also to find single wafer tool processes that produce fewer particles (defects) and cause no dielectric material loss.
Spin-on Organic Hardmask Materials in 70nm Devices
Chang-Il Oh, Dong-Seon Uh, Do-Hyeon Kim, et al.
In ArF lithography for < 90nm L/S, amorphous carbon layer (ACL) deposition becomes inevitable process because thin ArF resist itself can not provide suitable etch selectivity to sub-layers. One of the problems of ACL hardmask is surface particles which are more problematic in mass production. Limited capacity, high cost-of-ownership, and low process efficiency also make ACL hardmask a dilemma which can not be ignored by device makers. One of the answers to these problems is using a spin-on organic hardmask material instead of ACL hardmask. Therefore, several processes including bi-layer resist process (BLR), tri-layer resist process (TLR), and multi-layer resist process (MLR) have been investigated. In this paper, we have described spin-on organic hardmask materials applicable to 70nm memory devices. Applications to tri-layer resist process (TLR) were investigated in terms of photo property, etch property and process compatibility. Based on the test results described in this paper, our spin-on hardmask materials are expected to be used in mass production.
Materials for and performance of multilayer lithography schemes
The 45-nm node will require the use of thinner photoresists, which necessitates the use of multilayer pattern transfer schemes. One common multilayer approach is the use of a silicon-rich anti-reflective hardmask (Si BARC) with a carbon-rich pattern transfer underlayer (spin-on carbon, or SOC). The combination of the two layers provides a highly planar platform for a thin resist, and provides a route to etch substrates due to the alternating plasma etch selectivities of the organic resist, inorganic Si BARC, and organic SOC. Yet such schemes will need to be optimized both for pattern transfer and optics. Optimizing optics under hyper-NA immersion conditions is more complicated than with standard (that is, NA<1) lithography. A rigorous calculation technique is used to evaluate and compare standard lithography to a hyper-NA case using a multilayer stack. An example of such a stack is shown to have reasonable lithographic performance.
Advanced developer-soluble gap-fill materials and applications
Runhui Huang, Dan Sullivan, Anwei Qin, et al.
For the via-first dual damascene process, a planarizing anti-reflective material and gap-fill material are typically used to ensure a lithography process produces the best profiles and critical dimension (CD) control and integrates structures having small feature sizes. Traditionally a gap-fill material is usually coated in a thick layer, and then plasma etching is used to remove the extra gap-fill material above the substrate surface. We have developed a unique developer-soluble gap-fill material, BSI.G05013, which can be etched back in standard photoresist developer, instead of by plasma beam irradiation. By careful design of both the polymer and the formulation, our developer-soluble gap-fill materials fill vias and trenches on different substrates without void formation. Also, these gap-fill materials do not exhibit swelling or peeling problems during the developer etch-back process. Dissolution rate is adjustable by customizing the material with regard to the chemical structure of the polymer and the formulation composition. This new generation of developersoluble gap-fill material has broad process windows for bake temperature and develop time. The local bias between dense and isolated via areas and the global bias from wafer center to wafer edge are significantly reduced after develop back due to the dissolution rate difference between the bulk material and the material inside of the vias. Material is spin bowl compatible and does not precipitate in rinsing solvents. It is stable in storage conditions for a long period. Both dry plasma cleaning and wet developer cleaning can be used to remove the residual gap-fill material after processing. This new generation of develop-soluble gap-fill material, BSI.G05013 is robust and the process is economically favorable, which makes this solution convenient for planarizing surfaces.
Novel low-reflective index fluoropolymers-based top anti-reflective coatings (TARC) for 193-nm lithography
Tsuneo Yamashita, Takashi Hayami, Takuji Ishikawa, et al.
Implant lithography, which has up to now utilized 365-nm (i-line) and 248-nm (KrF) light sources, must now turn to 193-nm (ArF) sources. In implant lithography, an anti-reflective material is often used to coat the resist-film. The top anti-reflective coating (abbreviated to TARC) is most often used to reduce CD swing. TARC materials must have low refractive index and water solubility. The TARC materials for used 193-nm use must have very low reflective index and alternatives to perfluorooctylsulfonic acid (PFOS) and perfluorooctanoic acid (PFOA) must be found. We synthesized some novel fluorinated amorphous polymers as 193-nm TARC candidates. Their fundamental properties were characterized, such as transparency and reflective index at 193-nm (wavelength) along with their solubility in water and a standard alkaline developer. High transparency, i.e., k value less than 0.01, and very low reflective index, i.e., lower than n=1.4 at 193-nm wavelength are confirmed. Their dissolution behaviors are studied using the Quartz Crystal Microbalance (QCM) method. In surprise finding, we find that several of the polymers examined, those that have high fluorine content, dissolved in water. Test results show that the proposed polymers can be applied as top anti reflective coatings .
Effect of solvents and cross-link reaction group concentration on via filling performance in gap fill materials
This study relates to characterization of gap fill materials for advanced ArF lithography process that allows the formation of the gap fill materials having an excellent planarization property on a substrate having irregularities such as nanometer scale pattering holes and trenches to increase the depth of focus and resolutions, and large CF4 gas etching rate as compared with that of a resist while providing an excellent resist pattern without causing an intermixing with a resist layer, and that it can be specifically used in a damascene process for the introduction of a wiring material Cu (copper) used for reducing a wiring delay of a semiconductor device in recent years. In the characterization of gap fill materials for an excellent planarization property of lithography, it was obtained two key factors such as a specific relationship between the cross-link reaction group concentration of the polymers contained in the gap fill materials and the via filling performance, and a specific relationship between a solvent used in the polymer solution and the via filling performance. The application of gap fill materials based on this characterization is one of the most promising processes ready to be investigated into mass production of the present 65-90 nm node dual damascene lithography.
Optimization of hardmask for dual anti-reflection layers
The continuous shrinkage of critical dimensions has driven ArF lithography to resolve very small features and ever thinner resist films to prevent pattern collapse. Also importance of hardmask technology is becoming increasingly evident as the demand for both the critical dimension control and sufficient thickness of etch mask. We have developed a silicon based hardmask prepared by plasma-enhanced chemical vapor deposition (PECVD) to match organic anti-reflective coating (ARC). The ordinary single dielectric ARC or organic ARC is very sensitivity to the substrate topology. Dual ARC (dielectric ARC + organic ARC) perform a less CD variation than single ARC. In addition, this material can serve as an effective hardmask etch barrier during the plasma etch. The most advantage of Dual ARC is that we have good critical dimension uniformity (CDU) regardless of substrate thickness variation.
A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography
A novel approach to developer-soluble bottom anti-reflective coatings (BARCs) for 248-nm lithography was demonstrated. The BARC formulations are photosensitive, dye-filled systems incorporated with a polymer binder. The films are generated by thermally crosslinking the polymer matrix, and are then photochemically decrosslinked in order to render them soluble in developer solutions. The BARCs are compatible with solvents commonly used in the industry. Easy modification of the films with regard to optical properties for potential use with various substrates was also demonstrated. The BARCs exhibit anisotropic development in aqueous tetramethylammonium hydroxide (TMAH) solutions subsequent to simulated photoresist application, exposure, and post-exposure bake.
Optimization of material and process parameter for minimizing defect in implementation of MFHM process
Kilyoung Lee, Junggun Heo, Keundo Ban, et al.
Silicon-containing material has recently attracted attention as new hard mask material. We have studied the applicability of MFHM (Multi-Functional Hard Mask)/SOC (Spin on Carbon) materials as an alternative to the BARC/SiON/ amorphous carbon (a-C) process. This process is very useful in terms of cost reduction and process simplicity compared to a-C process. Evaluation results have showed good lithographic and etch performances. However, this MFHM process has showed specific defects related to material. This paper will focus on defect type and suggest its solution.
A novel 248-nm wet-developable BARC for trench applications
Charles J. Neef, Debra Thomas
A novel polyamic acid-based, 248-nm wet-developable BARC has been prepared to improve structure clear-out and lessen post-development residue. This material showed an excellent process window and controllable development rates that can be achieved by simply changing the formulation. It is a highly absorbing BARC with n and k values equal to 1.73 and 0.49, respectively. Lithography with this material has shown 180-nm dense profiles with P338 and M230Y. These profiles exhibited minimal undercutting with good clearing between the lines. Clear-out has been demonstrated for 120-nm trenches. Post-development residue of the material was tested at various temperatures and was determined to be 6 Å or less. In addition, sublimation was evaluated.
Wet-recess gap-fill materials for an advanced dual damascene process
Tetsuya Shinjo, Satoshi Takei, Yusuke Horiguchi, et al.
This paper describes the new developer-soluble gap fill materials, which are called wet gap fill materials, with wide process window. In order to reduce isolated/dense fill bias that comes from substrate topography, dry gap fill materials are used in combination with a plasma dry etch-back process. At the same time, the wet gap fill materials are coated thick enough to planarize all the topography and is then recessed using a standard 0.26N tetramethylammonium hydroxide (TMAH) developer. The material recess process takes place in the same track where it is coated and therefore simplifies the process and increases wafer throughput. We developed easy-to-use wet gap fill materials recently. Performances and properties of three types of wet gap fill materials (NCA2546, NCA2549, and NCA2550) based on the same polymer platforms will be discussed.
Novel approach of UV cross- link process for advanced planarization technology in 32-45 nm lithography
Satoshi Takei, Yusuke Horiguchi, Tetsuya Shinjo, et al.
Conventional method of patterning trenches in a via first trench last Dual Damascene process involves filling the thickness bias with thermal cross-link gap fill material and then applying the photoresist followed by trench lithography. The major problem of this process is the large thickness bias (step height) observed as the via pattern pitch and density changes across the wafer. Now, the new approach of UV cross-link system instead of thermal cross-link gap fill material is proposed. The material is referred to as UV cross-link film (XUVTM). The main properties of UV cross-link film are small thickness bias of blanket field and dense-via pattern, high planarization, and void free by using the newest UV cross link process that we studied in UV-photo irradiation system. The process for UV cross-link film is very simple, just UV ray irradiate the film for about 10 s in the same coater-developer tool. In this paper, we study the novel approach, UV cross-link process for reducing the thickness bias. The planarization of XUVTM was very high as compared with that of the film obtained from thermal cross-link gap fill material as the reference. The application of UV cross- link process using XUVTM is one of the most promising processes ready to be investigated into mass production to leave out the dry etch back process before patterning trench in via first trench last Dual Damascene lithography.
Overcome the challenge of CD-bias with organic bottom anti-reflective coating removal process
S. Yanovich, G. Krasnikov, O. Gushchin
This paper presents a review of two bottom anti-reflective coating (BARC) removal processes incorporated into subhalfmicron contact etching. They are believed to represent different etching mechanisms. Accuracy of size feature transfer was taken as a primary criterion for comparison of different BARC removal processes. These processes are based on application of glow discharge in the following basic gas mixtures: CF4+O2 and CO+O2. The first process based on CF4+O2 gas mixture shows a behavior of neutral etching species model that cause a footing developing during BARC removal. Roughly this may be explained by the continuous direction spectrum of neutral active species movement. The access of active neutral species to the BARC layer is dependant upon the window mask size, resist profile and the thickness of resist layer. The second process based on CO+O2 gas mixture represents another etching mechanism − ion bombardment induced etching. On contrary to the previous partially isotropic process this one provides anisotropic etching. This is due to stimulating and activating the etching reaction by ion bombardment. Anisotropic features are achieved because of directional ion flux normally to wafer surface. This process is proved to be independent of profile and mask opening size features. Data presented show that process based on CO+O2 gas mixture provides consistent close to zero CD bias at BARC removal step while CF4+O2 gas mixture based process causes negative CD bias with apparent dependence upon the window mask size. Robust results of CO+O2 process allow one to consider it and its basic etching mechanism to be a mainstream of process development for different applications.
Thin bilayer resists for 193-nm and future photolithography II
Bilayer, Si-containing resists are a technique of interest and a strong candidate to replace chemical vapor deposition (CVD) hardmask processes for small critical dimensions (CDs). Previously, we proposed a very thin film approach using bilayer resists for future lithography, defined the requirements for the resists, and demonstrated 55nm transferred patterns with high aspect ratios using 2-beam interferometer exposure. In this paper, we have demonstrated smaller-than- 60nm transferred patterns with a high numerical aperture (NA) scanner, as well as 45nm and 40nm transferred patterns with a 2-beam system using a 20% Si-containing thin bilayer resist. Immersion scanner exposure and a 35nm CD with 2- beam system were also studied.
Radiation sensitive developable bottom anti-reflective coating (DBARC) for 193nm lithography: first generation
Medhat Toukhy, Joseph Oberlander, Salem Mullen, et al.
A first generation DBARC applicable for 1st minimum 193nm lithography is described in this paper. The polymer used in this DBARC is insoluble in the casting solvent of the resist, which is propyleneglycolmonomethyletheracetate (PGMEA). Photo acid generator (PAG) and base extractions from the DBARC coating by the resist casting solvent were examined by the DBARC dissolution rates in the developer, before and after solvent treatments. Although the resist and the DBARC do not appear to intermix, strong interaction between the two is evident by their lithographic performance and dissolution rate study.
Poster Session: Resist Processing Technology
icon_mobile_dropdown
Post exposure bake unit equipped with wafer-shape compensation technology
Shigehiro Goto, Akihiko Morita, Kenichi Oyama, et al.
In 193nm lithography, it is well known that Critical Dimension Uniformity (CDU) within wafer is especially influenced by temperature variation during Post Exposure Bake (PEB) process. This temperature variation has been considered to be caused by the hot plate unit, and improvement of temperature uniformity within hot plate itself has been focused to achieve higher CDU. However, we have found that the impact of the wafer shape on temperature uniformity within wafer can not be ignored when the conventional PEB processing system is applied to an advanced resist technology. There are two factors concerned with the wafer shape. First, gravity force of the wafer itself generates wafer shape bending because wafer is simply supported by a few proximity gaps on the conventional hot plate. Next, through the semiconductor manufacturing process, wafer is gradually warped due to the difference of the surface stress between silicon and deposited film layers (Ex. Si-Oxide, Si-Nitride). Therefore, the variation of the clearance between wafer backside and hot plate surface leads to non-uniform thermal conductivity within wafer during PEB processing, and eventually impacts on the CDU within wafer. To overcome this problem concerned with wafer shape during PEB processing, we have developed the new hot plate equipped with the wafer shape compensation technology. As a result of evaluation, we have confirmed that this new PEB system has an advantage not only for warped wafer but also for flat (bare) wafer.
Reducing bubbles and particles associated with photoresist packaging materials and dispense systems
W. B. Alexander, K. T. O'Dougherty, W. Liu, et al.
A review of bubble energetics is presented to provide background into gas saturation and bubble formation in semiconductor resists. Nitrogen was used as a drive gas to push liquid out of several package materials versus a mechanical pump. The incorporation of dissolved gas was measured and a barrier liner was found to be superior versus a bottle with no liner. We have demonstrated that a pressure dispense package with an appropriate barrier liner provides a means to deliver lithographic chemicals and resist without the use of a mechanical pump.
Resist evaluation for contact hole patterning with thermal flow process
R. Tiron, C. Petitdidier, C. Sourd, et al.
In this paper, we investigate the capabilities to form small contact holes with various 193nm resists applying a thermal flow process. We first compare the material properties (glass transition temperature Tg and thermal deprotection TD) of different 193nm resists to our reference process for thermal reflow, namely the 248nm reference resist (RoR). The main difficulty related to 193nm acrylate backbone is the high Tg value, which implies some flow bake temperature closed to or superior to the deprotection temperature. Depending on the resist chemistry, different behaviours have been observed such as acceleration of the flow rate, formation of bubble defects linked to gaseous by-products or even contact hole diameter increase. These results are strongly dependent on the chemical reactions occurring in the resist film at the same time as the film softening. In order to better select the most promising 193nm resist candidates for contact hole reflow technique, we also develop a polymer flow measurement with Dynamic Mechanical Analysis (DMA). By measuring the creep compliance of the resist film spin-coated onto a silicon wafer under various bake temperatures, we are able to define the optimal temperature range for resist flow.
Effect of novel rinsing material and surfactant treatment on the resist pattern performance
Victor Huang, C. C. Chiu, C. A. Lin, et al.
Surfactant treatments, with SCR101 and EX01, were applied to both line-space and hole patterns in this report. 10% Reduction of line-width roughness and the raise of normalized aspect ratio were observed in line-space patterns after surfactant treatments, compared with those only treated with DI water. From top-view and cross-section images of hole patterns, it was found that bottom scum was eliminated and the contact-edge roughness (CER) was also improved after surfactant treatments. Although 1 to 5% shrinkage of patterns appeared, the depth of focus (DOF) of hole patterns was still increased due to removal of bottom scum. By applying the surfactant treatment, we were able to improve not only line width roughness and collapse margin of line-space patterns, but also CER and DOF of hole patterns.
Impact of airborne NH3 and humidity against wafer-to-wafer CD variation in ArF lithography through 45-nm technology node
Ryoichiro Naito, Yoshitaka Matsuda, Masaharu Shioguchi, et al.
An impact of air-borne NH3 and humidity against a wafer-to-wafer (WTW) CD variation is investigated. An environmental stability of ArF resist materials is also investigated through the design of experiment (DOE) analysis, where the different resist formulations are chosen as variation factors. Assuming the most environmentally sensitive ArF resist material used in the 45nm 1:1 LS pattern imaging (worst case scenario), the WTW CD variations caused by air-borne NH3 and humidity fluctuations are estimated to be 0.10nm and 0.29nm, respectively.
Proximity effect correction for the chemical shrink process of different type contact holes
Wei Hsien Hsieh, Hung Jen Liu, Wen Bin Wu, et al.
Small contact hole patterning had become the most difficult task in optical lithography as design rule of semiconductor continuously shrinks below 65nm. Conventional contact hole scheme need to avoid side-lobe and conduct complicated dense-isolated bias for resolution enhancement and depth of focus (DOF) improvement. To overcome this issue, some RETs (Resolution Enhancement Techniques) by process had been investigated, like RELACS (resolution enhancement lithography assisted by chemical shrink). RELACS is one of feasible procedures which could provide enough improvement in resolution, photo-resist profile, DOF, and CD uniformity (CDU). Proximity effect is one of significant topics to evaluate chemical shrink bias of different type contacts. Research of shrink bias of different size and pitch contacts had been investigated broadly in the past. In general, the constant bias of shrinkage for difference pattern sizes was an assumption. However, according to our evaluative results, we had characterized the correlation about the shrink bias versus pattern size. In this paper, we not only show DOF, CDU, shrink bias of RELACS, but also present chemical shrink bias of different size and different pitch contact holes and then we could follow this correlation rule to define general rule for proximity effect correction.
Mechanism of post develop stain defect and resist surface condition
Masahiko Harumoto, Akira Yamaguchi, Akihiro Hisai
In regards to stains appearing on the resist pattern after developing, this study succeeded in the reduction of these stain defects by improving the develop process. Furthermore the mechanism of this stain defect was considered by analyzing components of the defect. Since the stain defects of former generation such as i-line or KrF resist defect are known well, even now this defect is seen on the ArF resist. The appearance of this stain defect was caused by a kind of resist or pattern. Until now this defect has been resolved by improving the resist. In this study however, we tried to resolve the stain defect by the improvement of the developing process. As this improvement was able to greatly reduce this defect with no change to the resist or pattern, it was understood this defect is much influenced by the developing process. Thus it is projected the resist surface condition during the develop process was the important key to decreasing this defect. It should be understood the number of defects was changed by the kind of resist or pattern. First we analyzed the components of the stain defect itself. Next we analyzed the change in resist surface condition by the new develop process. As a result, it was realized this stain defect was from the developer chemical, it was considered that the developer remaining on the resist film caused the stain defect. As the resist surface condition was changed by the improved develop process, resulting in a sharp decrease in the stain defect.
New ArF resist introduction for process through-put enhancement
Myoung Soo Kim, Hae-Wook Ryu, Hong-Goo Lee, et al.
In this study, the four ArF resists having methacrylate structure have been evaluated to check the reliability of process through-put enhancement in track by applying the different baking time. The dense L/S and isolated patterns of T80nm and T66nm node device are investigated for these models. The chemical properties of applied resists are slightly different for each other in the respect of protecting ratio and molecular weight. The applied resist thickness are 2,000Å and 1,700Å for the patterning of T80nm and T66nm node device, respectively. The process margins of evaluated patterns for T80nm node device show the almost similar results for DOF and E/L for 90s, 60s and 45s baking time conditions. And the LER of these patterns also is not much changed by the different baking time conditions. Form the experimental results, it is confirmed that the less baking time below 60s for T80nm node pattern is available to apply for enhancing the through-put in litho process. The process margins and LER of evaluated patterns for T66nm node device are slightly affected by their chemical properties like molecular weight and protecting ratio of resin for the different baking time conditions. However, the more improved pattern profile and process margin can be obtained by optimizing the chemical properties in the certain experimental range. And also, it is found that the less baking time below 45s can be applied for the reliable patterning process of T80nm and T66nm node device through the crosssectional SEM views with the more optimizing the material compositions.
A heater plate assisted integrated bake/chill system for photoresist processing
Hui-Tong Chua, Arthur Tay, Yuheng Wang, et al.
A thermal processing module, which consists of a dense distribution of multivariate controlled heat/chill elements, is developed to achieve temperature uniformity of a silicon wafer throughout the processing temperature cycle of ramp, hold and quench in microlithography. In the proposed unit, the bake and chill steps are conducted sequentially within the same module without any substrate movement. The unit includes two heating sources. The first is a mica heater which serves as the dominant means for heat transfer. The second is a set of thermoelectric devices (TEDs) which are used to provide a distributed amount of heat to the substrate for uniformity and transient temperature control. The TEDs also provide active cooling for chilling the substrate to a temperature suitable for subsequent processing steps. The feasibility of a practical system is demonstrated via detailed modeling and simulations based on first principle heat transfer analysis.
Molecular contamination control technologies for high-volume production phase in high-NA 193-nm lithography
Toshiro Nakano, Takashi Tanahashi, Akihiro Imai, et al.
The current semiconductor lithography process is in the high volume production phase of 193nm high NA (Numerical Aperture) exposure, and further reaching the high volume production phase with 193nm immersion exposure lithography. As a result of miniaturization of the devices, it has becomes necessary to reduce the concentration of basic compounds (such as ammonia, amines, and N-methyl-2-pyrrolidone (NMP), which are used to insolubilize the chemical amplified resist in developing process, in the environment surrounding the wafer. For this purpose, chemical filters are used. In the clean room, in addition to these basic gases, there exist various organic compounds and the effects of organic compounds on the chemical filter cannot be ignored. This paper reports the results of basic research on the adsorption behavior of physical adsorption under the presence of the above-mentioned basic compounds and ion exchange reaction. Then the adsorption behavior of activated carbon chemical filter impregnated with acidic chemicals and strongly acidic cation exchange chemical filter for basic compounds was studied in the coexistence of organic components. The performance of impregnated activated carbon chemical filter deteriorates due to the coexisting organic compounds because removal of NMP is based on the physical adsorption mechanism. On the other hand, the performance to remove ammonia and NMP of strongly acidic cation exchange chemical filter is not affected by organic compounds because the filter exchanges ions with weakly basic compounds. The strongly acidic cation exchange chemical filter can provide desired performance for basic compounds under an actual clean room environment.
Printing of structures less than 0,3 µm by i-line exposure using resists TDMR-AR80 and TDMR–AR95
A. Behrendt, T. Dow, K. Stoeflin
There is increasing interest in high resolution i-line resists which allow the printing of structures smaller than 0.3&mgr;m. We have evaluated the resists TDMR-AR80 und TDMR-AR95 from TOK Company in order to check their potential concerning minimum line sizes with sufficient process window in regard to focus/exposure process latitude, with our main focus on trench structures. The Bossung Plots of dense lines and semi-dense lines were determined. The resist and etch profiles were characterised both by inline-SEM measurements and cross-sections. The influence of several stepper illumination modes and Off Axis Illumination (OAI) on the focus/exposure process window was investigated. The resists TDMR-AR80 and TDMR-AR95 enable printing of trench structures less than 0.3&mgr;m. For 0.3&mgr;m lines, our specification limit of 0.3&mgr;m +/- 10% was reached within a focus range from - 0.1 to 1.0 microns. OAI illumination mode enlarged the focus window by 20% in comparison to the standard illumination mode. Structures of 0.28&mgr;m and 0.26&mgr;m were printed with a focus window of 0.7&mgr;m which shows the high potential of this resist generation. The implementation of the resist in production provides large amounts of data which enable the calculation of parameters related to process stability (wafer to wafer and lot to lot CD-standard deviation, Cp-, Cpk-values etc.).
Various factors of the image blur in chemically amplified resist
Takanori Kawakami, Tomoki Nagai, Yukio Nishimura, et al.
In the current optical lithography, the resolution is being pushed for 45 nm half-pitch, and the chemically amplified resist will be used for wide variety of applications including immersion lithography. So far the chemical amplification has brought high performance for lithography. In the future, for the ArF lithography beyond 45nm half-pith, it will be important to control pattern size. On the other hand, chemically amplified resist which utilized acid catalyzed deprotecting reaction is sensitive to physical and chemical factor. Thus, there are various factors in the each process (Resist coating, Pre bake, Exposure, Post exposure bake, Development and Rinse) to cause the resist blur. For example, it's acid diffusion on PEB. The influence of these factors for the resist blur is a significant issue for lithography beyond 45 nm half-pitch. Therefore the need to reduce these factors on the resist blur becomes higher in order to extend the ArF lithography beyond 45 nm half-pith. In this paper, acid diffusion coefficient (D) and resist blur with changing anion size of PAG, size of protecting group in typical ArF resist was reported. The relationship between acid diffusion coefficient and resist blur was discussed on the basis of their difference in structure and characteristics.
Image tone optimization in advanced mask making for DUV lithography
Jong-doo Kim, Mun-hoe Do, Seong-ho Jeong, et al.
Deep-UV (DUV) lithography has been developed to define minimum feature sizes of sub-100 nm dimensions of devices semiconductor. In response to this trend, DUV mask technology has been proposed as an effective technique for considering the reduction of mask making cost, especially, in low volume designs. However, the requirement of tight CD control of the mask features in advanced devices is resulted in increasing of mask cost. In this research, we discussed two different typed image tones comparison, positive and negative tone, in DUV lithography. The choice of final mask tone needs to be selected as function of pattern density and shape. The evaluation items to judge if the mask is good are the OPC model accuracy, resolution and mask throughput. Both mask process and manufacturing throughput are affected by image tone type of positive and negative. This paper will show the procedures and results of experiment.
Automatic viscosity controlled production of photoresist
Woo Sok Chang, Christos Monovoukas, Michael Tanaka, et al.
Viscosity of photoresist is an important product parameter because it determines film thickness during spin coating. Producers of photoresist, therefore, have established manufacturing procedures which require that fluid viscosity be measured several times during production to ensure product quality. Periodic samples are taken off-line to an analytical laboratory where viscosity is measured under controlled conditions. However, off-line measurements, interrupt production, engage valuable human resources, and fail to provide adequate process feedback. This paper describes the implementation of an automatic viscosity-controlled production process of photoresist using a unique in-line viscometer. The automated photoresist production process increases throughput and improves product quality. With sufficient accuracy and repeatability of the measurements, it is now possible to correlate and predict film thickness with viscosity values taken during photoresist production.
Photoresist adhesion effect of resist reflow process
Making a sub-100 nm contact hole pattern is one of the difficult issues in semiconductor process. Compared with another fabrication process, resist reflow process is a good method to obtain very high resolution contact hole. However it is not easy to predict the actual reflow result by simulation because very complex physics and/or chemistry are involved in resist reflow process. We must know accurate physical and chemical constant values and many fabrication variables for better prediction. We made resist reflow simulation tool to predict approximate resist reflow as functions of pitch, temperature, time, array, and so on. We were able to see the simulated top view, side view and the changed hole size. We used Navier-Stokes equation for resist reflow. We had varied the reflow time, temperature, surface tension, and 3-dimensional volume effect for old model. However the photoresist adhesion is another very important factor that was not included in the old model. So the adhesion effect was added on Navier-Stokes equation and found that there was a distinctive difference in reflowed resist profile and the contact hole width compared to the case of no adhesion effect.
Poster Session: Resist Fundamentals and Simulation
icon_mobile_dropdown
Simulation of the combined effects of polymer size, acid diffusion length, and EUV secondary electron blur on resist line-edge roughness
D. Drygiannakis, M. D. Nijkerk, G. P. Patsis, et al.
Device shrinking combined with material manipulation under various process conditions becomes a difficult task if specific optimization conditions should be met. Nanolithography is limited by effects as line-edge and line-width roughness (LER and LWR respectively) and secondary electron blur (SEB). Simulation studies could show the direction of solving design for manufacturing problems. In the current article a simulation methodology is presented, based on the concept of stochastic modeling of exposure, material, and process aspects of lithography and pattern transfer with plasma etching in order to get information about the evolution of critical dimensions (CD), LER and LWR in the layout. The study reveals that under certain process conditions, the effect of acid diffusion on LER is more important than the one of SEB, although both deal with blurring, because acid diffusion is supposed to extend in longer radius. However, when resists of low degree of polymerization are used, SEB should also be considered explicitly since the deteriorations from blurring on both LER and CD are enhanced due to the graining nature of the material. In any case, etching smoothing effects of high frequency LER components should be considered in terms of CD loss.
Distribution control of protecting groups and its effect on LER for EUV molecular resist
Daiju Shiono, Hideo Hada, Hiroto Yukawa, et al.
We have designed and synthesized a molecular resist material, which has no distribution of the protecting groups and have evaluated its performance as a molecular resist with EB and EUV exposure tool. The molecular resist attained a resolution of sub-45 nm patterning at an exposure dose of 12 mJ/cm2. It was found that controlling the distribution of the protecting groups in a molecular resist material has a great impact on improving Line Edge Roughness (LER). Low LER values of 3.1 nm (inspection length: L = 620 nm) and 3.6 nm (L = 2000 nm) were achieved with this molecular resist using Extreme UltraViolet (EUV) lithography tool.
Evaluation of the 3D compositional heterogeneity effect on line-edge-roughness
The controlling factors in the formation of the compositional heterogeneity at the deprotection front were investigated using 3D computer simulation. The results illustrate that the chemical composition fluctuation (CCF) formed by the photoresist deprotection reaction is an important factor contributing to the line-edge-roughness (LER) in addition to the deprotection gradient (DG) of the reaction front. The magnitude of the chemical composition fluctuation and the deprotection gradient are found to depend on the ratio of the deprotection reaction rate constant to diffusion coefficient (kP/D) and the number of hoping step (n) With this new finding, the influence on LER from various process/material parameters such as dose/contrast, diffusivity, and reactivity can all be understood through their effects on kP/D and n.
Observing morphology on surface of poly(methacrylate) in ArF lithography using AFM phase image
In recent years, ArF lithography has required a half-pitch size (DRAM) of 45 nm or less. To achieve the requirement, line edge roughness (LER) is recognized as one of the most serious problems in lithography today, because LER directly degrades device characteristics and affects system performances. Although the uniformity of polymer film is important for reducing LER, little is known about polymer morphology after coating. In this study, we observed the surface of poly(methacrylate) samples after coating with AFM tapping mode and found specific morphology in the phase images for the first time (the height image was flat).
A study of process extension technologies
Current 193 nm optical lithography and commercially available 193 nm resists are pushed far beyond previously expected critical dimension by using the process extension technology for the resolution enhancements technology. This paper deals with three kinds of process extension technologies such as thermal treatment, polarization, and double patterning. Those technologies are tried to model and analyze. Supposed the 50% pattern shrinkage due to thermal treatment, 25% resolution enhancement due to polarization, and the 50% pattern shrinkage due to double patterning, an effective combination can generate a sub-50 nm pattern. When pattern size is smaller, optical proximity effects are more severe. After describing optical proximity effects for each of technologies, optical proximity correction methods are discussed.
32-nm pattern collapse modeling with radial distance and rinse speed
Chemically amplified resist materials are now available to reach critical dimensions of the pattern close to 32 nm values. Pattern collapse is a very serious problem in fine patterning less than 32 nm critical dimension, because it decreases the yield. The pattern collapse is the pattern response to unbalanced capillary forces acting on the pattern walls during the spinning drying step after development process. Centrifugal force has not considered for pattern collapse modeling up to now, so that pattern collapse due to spinning is studied. In this study we investigate the 32 nm node pattern collapse mechanism with radial distance and rinse speed of dense patterns. In the process of creating the simulation tool, the rotating model is used. As rinse speed and radial distance are increased, critical aspect ratio is decreased. As a result, pattern collapse is increased.
The rational design of polymeric EUV resist materials by QSPR modelling
Kevin Jack, Heping Liu, Idriss Blakey, et al.
We present the initial results of the development of a qualitative structure property relationship (QSPR) model to guide in the design and synthesis of high-sensitivity, non-CAR materials for EUV lithography. The model was developed using the fragmentation data of low molecular weight species at 70 eV using a mass spectrometer (MS) with an electron ionization source as the input parameter. The preliminary model has highlighted a number of structural elements which will be important in the future design of resists, however, limitations with the current set of input data for molecules which fragment readily have been identified and these are currently being addressed. Additionally, a correlation between &ggr; (1 MeV) and EUV (92 eV) radiolysis of selected polymers has been established and it is proposed that the higher energy (1 MeV) irradiation source is a suitable model process for EUV and can, therefore, be used in the future screening of polymeric materials.
Some non-resist component contributions to LER and LWR in 193-nm lithography
Improvement of line edge roughness (LER) and line width roughness (LWR) is required for integration of semiconductor devices. This paper describes various process factors affecting LER/LWR of 193 nm resists such as mask layout (bright field/dark field), pitches, optical settings, substrates, film thickness, baking temperature and development condition. The origins of line roughness are discussed in view of aerial image contrast, transmittance of resists and pattern profiles. Bright field mask exhibited lower LER/LWR values than dark field mask, LER/LWR deteriorated as larger pitches and illumination condition affected roughness and these results are explained using normalized image log-slope (NILS). BARC dependence of line roughness is explained by pattern profile difference due to interactions between resist and BARC and in some cases BARC reflectivity. Contributions of film thickness, SB & PEB temperature and development condition to line roughness are also reported.
Depth-of-focus (DOF) and line-width roughness (LWR) performance of novel surface conditioner solutions for immersion lithography
As lithographic technology goes beyond the 45nm node, depth of focus (DOF) and line width roughness (LWR) for poly gates have become critical parameters. There is a growing interest in applying surface conditioner solutions during the post-develop process to increase DOF and reduce LWR. Surface conditioners interact with resist sidewall selectively, causing surface plasticization effect and smoothing the sidewall profile. As a result, the LWR can be reduced and the poor pattern profile located in the focus marginal area due to poor image contrast will be improved so that the depth of focus (DOF) can be increased significantly. In this paper, the features of lines/spaces patterned for the 45nm node by immersion lithography were used to evaluate surface conditioner performance with regards to DOF increase and LWR reduction. The results demonstrate there is about 1.5 nm LWR reduction, as well as a significant improvement on the process window for DOF, for which there is 37.5% increase for ISO poly gates and 36% increase for DENSE poly gates. No negative impact on the effect of optical proximity correction (OPC) and resist profile were observed with the new process. In addition, etch testing was conducted to determine how much post-develop LER reduction has been retained through etch by comparing post-etch and post-develop LER for both baseline and surface conditioner processes.
Poster Session: NGL
icon_mobile_dropdown
Effect of photo-acid generator concentration and developer strength on the patterning capabilities of a model EUV photoresist
Current extreme ultraviolet (EUV) photoresist materials do not yet meet requirements on exposure-dose sensitivity, line-width roughness (LWR), and resolution. Fundamental studies are required to quantify the trade-offs in materials properties and processing steps for EUV photoresist specific problems such as high photoacid generator (PAG) loadings and the use of very thin films. Furthermore, new processing strategies such as changes in the developer strength and composition may enable increased resolution. In this work, model photoresists are used to investigate the influence of photoacid generator loading and developer strength on EUV lithographically printed images. Measurements of line width roughness and developed line-space patterns were performed and highlight a combined PAG loading and developer strength dependence that reduce LWR in a non-optimized photoresist.
A study of EUV resist outgassing characteristics using a novel outgas analysis system
We have designed a novel outgas analysis system to help gain a better understanding of EUV resist outgassing characteristics. In this paper, we will discuss the performance results of this outgas analysis system which incorporates a stand-alone discharge produced plasma extreme ultra violet (EUV) source of comparatively high power output and various outgas evaluation methods such as quadropole mass spectrometry (QMS), gas chromatography - mass spectrometry (GC-MS), quartz crystal microbalance (QCM) and 'witness mirror'. In this analysis system, the GC-MS evaluation set-up is quite unique from the commonly available outgassing systems with the utilization of the 'cold-trap sampling technique'. In this sampling technique; a 'trap box' is used with an cryostat-based internal cooling system used to lower the trap-box temperature to increase the efficiency of the trapping of outgas elements released from the resist wafer fragment during exposure. After exposure, the trap-box is then transferred to a heating chamber where the outgas elements that have adhered is heated and released to a thermal desorption tube for GC-MS analysis. After successive experiments using a polymer resist, we have succeeded in proving the improved efficiency of outgas trapping through the cold-trap method. Compared to the amount of trapped outgas at room temperature, a considerable amount of resist outgas was measured with the trap-box cooled at very low temperatures. With the QMS analysis results, it was also observed that the low molecular-type resist released lesser outgassing elements compared to the polymer resist. This work is partially supported by the New Energy and Industrial Technology Development Organization (NEDO).
Sub 10-nm contact holes with aspect ratio over sixty formed by e-beam resist shrinkage techniques
Wei-Su Chen, Ming-Jer Kao, Ming-Jinn Tsai
E-beam chain scission resist ZEP520A with 400 nm thickness was studied for sub-10 nm contact holes with high aspect ratio formed by CD shrinkage techniques of thermal reflow and SAFIER. CD shrinkage temperatures and repeating times were process parameters to be studied. Design parameters of initial CD of 40-100 nm and line/space ratio of contact hole with 1/3 and >1/20 before shrinkage were also studied. Process window of thermal reflow for the aforementioned initial CDs is 155-165 °C while that of SAFIER is 150-165 °C. There is no shrinkage for both methods for temperatures below 140 °C. CD shrinkage rates of both methods decrease for more than one time of heating. Thermal reflow has a larger CD shrinkage rate than SAFIER. The dependence of shrinkage rate on initial CD size and spatial frequency is not apparent. CD nearly ceases shrinking for further heatings as the CD reaches an ultimate CD size. The ultimate CD for a larger initial CD is also larger. The smallest shrunk CD is found to be 5.8 nm with aspect ratio over sixty for 50 nm initial designed CD. CD uniformity also studied for both processes with 3-sigma smaller than +/-10%. The contact holes shrunk by thermal reflow process generally show funnel-shape profiles while those shrunk by SAFIER process show similar profiles with wider undercut. In summary, the thermal reflow process results in better profile while the SAFIER with slower CD shrinkage rate has a better control on CD and uniformity.
Study on photochemical analysis system for EUV lithography
A. Sekiguchi, Y. Kono, M. Kadoi, et al.
A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.
Effect of deprotection activation energy on lithographic performance of EUVL resist
As the feature size becomes smaller, it is difficult for the lithography progress to keep pace with the acceleration of design rule shrinkage and high integration of memory device. Extreme Ultra Violet Lithography (EUVL) is a preferred solution for the 32nm node. In this paper, we have synthesized two types of polymers. One is based on hydroxy phenol, the other is based on hydrocarbon acrylate type polymer. We have diversified each polymer type according to different activation energies for deprotection reaction. In this experiment, we have observed on the resist lithographic performance such as resolution, LER (Line Edge Roughness), photo-sensitivity, and out-gassing during exposure. Different properties according to activation energy were well explained by acid diffusion and polymer free-volume.
Photoresist dissolution into a CO2 compatible salt and CO2 solution: Investigation of Processing Conditions
Amy E. Zweber, Mark Wagner, Ruben G. Carbonell
New lithographic techniques are being implemented to help further reduce feature sizes in microelectronics. A technique for the development of standard extreme ultraviolet (EUV) photoresists in a carbon dioxide compatible salt (CCS) and supercritical carbon dioxide (scCO2) solution is being investigated to reduce line edge roughness and image collapse of high aspect ratio features.1,2 To understand the kinetics and overall mechanism of photoresist dissolution into the high pressure CCS/scCO2 solution, a quartz crystal microbalance (QCM) was previously used to measure the effects of temperature, pressure, and density on the photoresist removal rate.3,4 In this paper, the effects of a CO2 drying step before development and an adhesive coating on the photoresist removal rate and the formation of residual photoresist droplets were studied at 50°C and 5000 psi. The results implied that neither the CO2 drying step nor the HMDS coating had an effect on the bulk photoresist removal rate. It was also found that using an HMDS adhesive coating reduces residual photoresist droplet size on the substrate due to the lower substrate / photoresist surface energy.
Exposure of molecular glass resist by e-beam and EUVIL
Cyril Vannuffel, Damien Djian, Serge Tedesco, et al.
Molecular resist have potential interest for low CDs and LERs required in future lithography technology. The lithographic ability of one of them is exposed in this study, by e-beam and by EUV-IL. Work on process condition is described and leads to dense-lines resolution down to 32.5nm for.
Sub-10-nm structures written in ultra-thin HSQ resist layers using electron-beam lithography
Anda E. Grigorescu, Marco C. van der Krogt, Cees W. Hagen
Isolated dots and lines with 6 nm width were written in 20 nm thick Hydrogen silsesquioxane (HSQ) layers on silicon substrates, using 100 keV electron beam lithography. The main factors that might limit the resolution, i.e. beam size, writing strategy, resist material, electron dose, development process, are discussed. We demonstrate that, by adjusting the development process, a very high resolution can be obtained. We report the achievement of 7 nm lines at a 20 nm pitch written in a 10 nm thick HSQ layer, using a KOH-based developer instead of a classical TMAH developer. This is the smallest pitch achieved to date using HSQ resist. We think that the resolution can be improved further, and is presently limited by either the beam diameter (which was not measured separately) or by the not fully optimized development process.
Phenolic molecular glasses as resists for next-generation lithography
Xavier André, Jin Kyun Lee, Anuja De Silva, et al.
In this contribution, we describe our efforts to develop novel chemically amplified molecular glass (MG) photoresists based on bulky phenol structures. In contrast to conventional polymeric materials, MG resists possess distinct advantages, such as smaller molecular size and uniformity in composition. A number of compounds which possess rigid aromatic backbones were synthesized in our laboratories and evaluated for electron beam lithography. Herein, two new MG photoresists are discussed in terms of their physical and lithographic properties. In the first section, we introduce tert-butoxycarbonyl (t-Boc) protected 'Noria-Boc' photoresists as a promising candidate for next generation lithographic technique. Noria-Boc was synthesized through a condensation reaction between resorcinol and 1,5- pentanedial. After protection with di-tert-butyl dicarbonate [(t-Boc)2O], the cyclic, bulky and amorphous material was characterized by a high glass transition temperature (Tg > 120 °C) and excellent film-forming properties. Post-exposure bake at 140 °C was necessary to ensure complete development of the exposed area and produced sub-100 nm lines. In the second part, we describe the synthesis and lithographic evaluation of partially t-Boc-protected bulky phenol 'CR1'. CR1 is also characterized by high glass transition temperature (Tg ≈ 130 °C) and good film-forming properties. Postapply bake at 130 °C and post-exposure bake above 130 °C were necessary to ensure good contrast under deep UV (DUV) exposure conditions.
Poster Session: Novel Processes and Applications
icon_mobile_dropdown
Study on diazonaphthoquinone positive photoresist composition for LCD
Fangyu Zhou, Yingquan Zou, Zhanbin Zhang
We report on a study of the diazonaphthoquinone (DNQ) positive photoresist composition for LCD. Photoresist is the important material used for the electrode of LCD. LCD photoresist consists of photoactive compounds, binder resin and organic solvent. We first study the esterification of 3,4,5-trihydroxybenzophenone, 2,2',4,4'-tetrahydroxybenzophenone and 1,2-DNQ-4-or-5-sulfonylchloride, then the conventional photoactive compounds were synthesized. The properties of the conventional photoresist composition were also studied. Our work focuses on exploring new type of photoactive compounds. We prepared the new phenol compounds: 7,8-dihydroxy-4-methylcoumarin, 6,7-dihydroxy-3,3-diphenyl-3H-benzenefuran-2- ketone, and then reacted with 1,2-DNQ-4-or-5-sulfonyl chloride. The new photoactive compounds were used with PGMEA as organic solvent and the novolac resin as binder resin, then the photoresist composition was prepared. The photoresist composition was coated on the pretreated ITO films and ITO glasses. After the prebake, exposure, developing, hard bake, a desired pattern was produced . The properties of photoresist composition, for example: photosensitivity, resolution and developing performance were good, and the photosensitivity can reach to 40.5mJ.cm-2, the resolution can be 1&mgr;m.
The use of a black pigment polyimide, DARC300, as a light absorber on an optical sensor
K. A. Gehoski, P. M. Holm, K. A. Boggess, et al.
In the design and fabrication of arrayed opto-electronic detection devices, it is critical to provide optical isolation between the individual array cells to prevent optical crosstalk between channels and contribution from stray light that would otherwise result in degraded signal-to-noise performance. To accomplish this, the light incident between the cells' optical apertures and around the periphery of the array must be blocked from entering the active semiconductor layers. One approach has been to use an opaque layer of metal, but this can lead to reflections and light trapping and ultimate absorption of this stray light in device active regions. Another approach is to use an absorbing material to block stray light. DARC300, a registered trademark of Brewer Science, is an optically absorbing, photo-definable polyimide designed for exactly this purpose. Presented here are the results of the DARC300 blocking layers, including a review of the process development and issues addressed along the way. The most prevalent issues with the DARC300 were the remnants of black pigments after develop, and the insufficiently developed features. A normalized spectral response of a 4-channel, fixed cavity, Fabry-Perot micro spectrometers, with and without the optical blocking layer between cells and around the periphery of the array are shown to greatly enhance device performance with the use of the DARC300 layer.