Proceedings Volume 6002

Nanofabrication: Technologies, Devices, and Applications II

cover
Proceedings Volume 6002

Nanofabrication: Technologies, Devices, and Applications II

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 10 November 2005
Contents: 11 Sessions, 29 Papers, 0 Presentations
Conference: Optics East 2005 2005
Volume Number: 6002

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Nano-Bio-Application
  • Nano-Photonics and Optical Devices I
  • Nano-Lithography I: Top Down Approach
  • Nano-Material Growth and Deposition
  • Nano-Electronics Device Integration I
  • Nano-Electronics Device Integration II
  • Nano-Lithography II: Top Down Approach
  • Nano-Metrology
  • Nano-Fabrication: Bottom Up Approach
  • Nano-Photonics and Optical Devices II
  • Poster Session
Nano-Bio-Application
icon_mobile_dropdown
E-beam-patterned hydrogels to control nanoscale surface bioactivity
P. Krsko, I. Saaem, R. Clancy, et al.
We are interested in controlling the spatial distribution of proteins on surfaces at cellular and subcellular length scales. To do this, we use a variation of e-beam lithography in a field-emission scanning electron microscope (SEM) to radiation crosslink thin films of water- soluble polymers such as poly(ethylene glycol) [PEG] and poly (carboxylic acids). We can simultaneously pattern the resulting hydrogels on silicon or glass surfaces with nanoscale and microscale feature sizes. Using hydroxy-terminated PEG 6800 we create gels with swell ratios between unity and fifteen depending on the degree of radiation crosslinking, and the swelling properties can be interpreted in terms of the Flory-Rehner formulation modified for one-dimensional swelling. While lightly-crosslinked PEG gels resist protein adsorption and cell adhesion as expected, highly crosslinked PEG gels adsorb such proteins as fibronectin and laminin and consequently become adhesive to fibroblasts, macrophages, and neurons. By spatially modulating the degree of crosslinking, we can localize these cells on surfaces and, for example, direct neurite outgrowth. If instead of using hydroxy-terminated PEG we use amine- terminated PEG, we introduce the additional flexibility of creating high-swelling PEG gels that resist nonspecific protein adsorption but to which specific proteins can be covalently bound. These can be surface patterned at submicron spacings, and we can pattern 7500 nanohydrogels in a 100 micron diameter arrays in 10 seconds. This is an areal density ~104 times greater than a modern DNA/protein chip, and the required bioreagents for chip fabrication and processing are proportionately less. We can bind fibronectin and laminin to different arrays, and we show that these proteins maintain their biospecificity after binding to the nanohydrogels with high fidelity. Looking to applications in next-generation protein-chip technology, our most recent experiments compare the performance of nanohydrogel arrays to that of standard protein microarrays using oligonucleotides which specifically bind nucleic acid-binding proteins.
Nano-Photonics and Optical Devices I
icon_mobile_dropdown
Gap effects on whispering-gallery mode microresonances
Zhixiong Guo, Haiyong Quan, Stanley Pau
Photon tunneling between an optical resonator and a light-delivery coupler is strongly dependent on the gap dimension which can vary from zero to size of an optical wavelength involved. In this systematic report, we investigate the gap effects of whispering-gallery modes in two modeling systems: a waveguide-coupling resonator of 2μm and 10μm in diameter, respectively. Maxwell's equations which govern the EM wave propagation and photon tunneling in the microsystems are solved using the finite element method. The simulation accuracy and sensitivity is examined. It is found that when the maximum element size in the computationally sensitive regions is below 1/8 of the wavelength involved, the calculations are accurate. An optimal gap exists for maximum energy coupling and is a strong function of the wavelength of the resonant mode. The Q factor increases exponentially with increasing gap and saturates as the gap approaches the optical wavelength. An optimum gap can be defined at the half maximum energy coupling where both the Q factor and coupling efficiency are high. We also calculate the effects of gap width on the resonance shift. We find that the resonance wavelength is increased (decreased) with decreasing gap width for the 10μm (2μm) diameter resonator with narrow gap widths.
Left-handed high energy density waveguides: nano-light propagation and focusing
V. Podolskiy, J. Elser, R. Wangberg, et al.
It has been recently shown that a planar waveguide structure with a strongly anisotropic core can be used as non-magnetic medium with negative refractive index. In such a system, the optical radiation propagating in the plane of the waveguide is effectively confined in deep subwavelength space perpendicular to this plane, leading to the strong enhancement of energy density inside the system. We demonstrate the possibility of using the high energy-density waveguide as a planar lens, present a detailed study of imaging properties of the proposed system, and consider the perspectives of energy confinement beyond the diffraction limit.
The development of surface-plasmon-based sensors using arrays of sub-wavelength holes
The transmission of normally incident light through arrays of subwavelength holes (nanoholes) in gold thin films is enhanced at the wavelengths that satisfy the surface plasmon (SP) resonance condition. The enhanced transmission is accompanied by strong field localization and has potential for applications in several fields, ranging from quantum information processing to nanolithography. In this work, arrays of nanoholes were used as chemical sensors to monitor the binding of organic and biological molecules to metallic surfaces. In a first approach, the interaction between the adsorbate with the metallic nanostructure modified the SP resonance conditions, leading to a shift in the wavelength of maximum transmission. The sensitivity of this substrate was found to be 400 nm RIU-1 (refractive index units), which is comparable to other grating-based surface plasmon resonance devices. The array of nanoholes was also integrated into a microfluidic system and the characteristics of the solution flow and detection systems were evaluated. The second approach to sensor development using this class of substrate involved the observation of enhanced spectroscopic signal from species located within the SP field. Surface-enhanced Raman scattering and surface- enhanced fluorescence spectroscopy were observed from adsorbed dyes. The enhanced spectroscopic signal was dependent on the fabrication parameters of the array. The largest enhancement was observed when the periodicity of the nanoholes matched the energy of the laser excitation. Among the main advantages of this substrate for chemical sensing is the collinear optical geometry. This simplifies the alignment with respect to the traditional reflection arrangement used in SPR sensing.
Nano-Lithography I: Top Down Approach
icon_mobile_dropdown
Enabling nanoscale science and engineering via highly flexible low-cost maskless lithography
The role of lithography in the future of nanoscale science and engineering is to put high-density spatial information into nanoscale assemblies. Because information content determines the functionality of such assemblies, lithography will be a key enabler. Conventional lithographic techniques generally lack the flexibility, low cost and the resolution that research in nanoscale science and engineering requires. Although no single lithographic technique is likely to be a panacea, it is important to seek novel approaches that meet the needs of researchers, and open a path to directly manipulating nanoparticles and macromolecules. We review the various forms of lithography and focus special attention on maskless zone-plate-array lithography, assessing its impact, advantages and extendibility to the limits of the lithographic process. Nanoscale assemblies will require control at the macromolecular level, and this has begun with research on templated self assembly. Going beyond that to the control and utilization of the information content of nanoparticles and molecules will require innovations whose origin is uncertain at this point.
Nano-Material Growth and Deposition
icon_mobile_dropdown
Epitaxial and endotaxial semiconductor quantum dots: atomic order, morphological transformations, and structural transitions
This updated review consists of three parts. The first part gives an introduction to epitaxially and endotaxially self-assembled semiconductor quantum dots. The second part of this review deals with both epitaxially grown (In,Ga)Sb compound semiconductor quantum dots in GaSb matrix and epitaxially grown In(As,Sb) compound semiconductor quantum dots in InAs matrix. These quantum dots are grown in the Stranski-Krastanow growth mode, are compressively strained to several percent and initially possess the sphalerite structure with the mixed cations and anions more or less randomly distributed over their respective sublattices. Experimental evidence for the existence of long-range atomic order within such III-V compound semiconductors quantum dots is reviewed. Employing the thermodynamics of small misfitting precipitates, a simple calculation for a model III-V compound semiconductor quantum dot system is given. This calculation demonstrates the possibility of structural transitions from ordinarily strained random semiconductor alloy quantum dots (with the sphalerite structure) to long-range atomically ordered quantum dots (i.e. crystallographic superlattices) that are negligibly strained because they possess lattice mismatch strain minimizing orientation relationships with the surrounding matrix. The third part of this review deals with endotaxially grown α-Sn (grey tin) quantum dots in Si matrix. Both the phase separation formation mechanism and the void-mediated formation mechanism of these entities are briefly discussed. The thermodynamics of small misfitting precipitates provide reasonable explanations for structural transitions and morphological transformations of such quantum dots. Morphological transformations within the diamond structure with the precipitate size are explained by an increasing contribution of the elastic mismatch strain energy to the Gibbs free energy.
Photoluminescence properties of Er-doped Y2O3 thin films by radical-enhanced atomic layer deposition
Trinh T. Van, John Bargar, Roman Ostroumov, et al.
Erbium-doped Y2O3 thin films were synthesized by combining radical-enhanced atomic layer deposition (RE-ALD) of Y2O3 and Er2O3 in an alternating fashion at 350°C. The Er doping level was precisely controlled to range from 6 to 14 at.% by varying the ratio of Y2O3:Er2O3 cycles during deposition. At 350°C, the films were found to be polycrystalline, showing a preferential growth direction in the [111] direction. Room-temperature photoluminescence (PL) at 1.54 μm, characteristic of the Er3+ intra 4f transition, was observed in a 500-Å Er-doped (6 at.%) Y2O3 film, showing well resolved Stark features indicating the proper incorporation of Er in the Y2O3 host. Extended X-ray absorption fine structure (EXAFS) analysis revealed a six-fold coordination of Er by O in all samples, suggesting that the PL quenching observed at high Er concentration (>8 at.%) is likely dominated by Er ion-ion interaction and not by Er immiscibility in the Y2O3 host. The effective absorption cross section for Er3+ ions incorporated in Y2O3 was determined to be ~10-18 cm2, about three orders of magnitude larger than the direct optical absorption cross section reported for Er3+ ions in a stoichiometric SiO2 host.
Nano-Electronics Device Integration I
icon_mobile_dropdown
Single nanoparticle semiconductor devices
Yongping Ding, Ying Dong, Ameya Bapat, et al.
Using a new technique for forming cubic, single crystal silicon nanoparticles about 40 nm on a side, the authors have demonstrated a vertical flow, surround gate, Schottky barrier transistor. This approach allows the use of well known approaches to surface passivation and contact formation within the context of deposited single crystal materials for device applications. It opens the door to novel three dimensional integrated circuits and new approaches to hyper-integration. The fabrication process involves successive deposition and planarization and does not require any type of nonoptical lithography. Device characteristics show reasonable turn- off characteristics and on-current densities of more than 107 A/cm2.
ALD as enabling technology for the next generation of microprocessors
Adrien R. Lavoie, Val Dubin
As dimensions of microprocessor components continue to shrink, new and enabling technologies are required to enable the next generation of (sub)nanometer size features and components. With this aim, the industry has long aspired to gain molecular and even atomic-level control over architectural assembly. Building from the basic principles of chemistry and physics, one of today's technological forefronts in self-assembly and atomic-scale placement is being realized via ALD. Herein, the advances in ALD/CVD with a focus on BEOL interconnect development will be reviewed. Architectural, materials, and integration platforms (barrier, adhesion, seed) will be reviewed. Industrial challenges will be addressed and leading strategies will be considered. Finally, the latest results involving methods and materials will be presented.
Self-assembling formation of Si-based quantum dots and control of their electric charged states for multi-valued memories
We have prepared hemispherical Si nanocrystals as quantum dots (QDs) on thermally-grown SiO2 layers in a self-assembled manner by controlling the early stages of LPCVD using SiH4, and also formed Si-QDs with a Ge core by controlling the selective growth conditions in SiH4- and GeH4-LPCVD. From the change in the surface potential at each of QDs caused by electron injection or emission through ultrathin SiO2 as measured with an AMF/Kelvin probe technique, we have quantified how many electrons or holes can be retained stably in the single dot covered with ultrathin SiO2 at room temperature without any external biases. We have found that, for Si-QDs with a Ge core, electrons are retained stably in Si clad while holes in Ge core. MOS capacitors and FETs with the Si dots as a floating gate have also been designed and fabricated. Multiple-step electron charging (or discharging) characteristics of the Si-dot floating gate are observable presumably because columbic force arising from charged dots efficiently suppresses the electron charging of neighboring neutral dots. From the temperature dependence of temporal change in the drain current at a fixed positive gate bias after complete discharging of the Si-QDs floating gate, we were found that the multiple step charging proceeds with an thermal activation energy being almost equal to the energy separation in the sum of quantized and charging energies between the charged states.
Nano-Electronics Device Integration II
icon_mobile_dropdown
Low-k/copper integration scheme suitable for ULSI manufacturing from 90nm to 45nm nodes
T. Nogami, S. Lane, M. Fukasawa, et al.
This paper discusses low-k/copper integration schemes which has been in production in the 90 nm node, have been developed in the 65 nm node, and should be taken in the 45 nm node. While our baseline 65 nm BEOL process has been developed by extension and simple shrinkage of our PECVD SiCOH integration which has been in production in the 90 nm node with our SiCOH film having k=3.0, the 65 nm SiCOH integration has two other options to go to extend to lower capacitance. One is to add porosity to become ultra low-k (ULK). The other is to stay with low-k SiCOH, which is modified to have a "lower-k". The effective k- value attained with the lower-k (k=2.8) SiCOH processed in the "Direct CMP" scheme is very close to that with an ULK (k=2.5) SiCOH film built with the "Hard Mask Retention" scheme. This paper first describes consideration of these two damascene schemes, whose comparison leads to the conclusion that the lower-k SiCOH integration can have more advantages in terms of process simplicity and extendibility of our 90 nm scheme under certain assumptions. Then describing the k=2.8 SiCOH film development and its successful integration, damascene schemes for 45nm nodes are discussed based on our learning from development of the lower-k 65nm scheme. Capability of modern dry etchers to define the finer patterns, non-uniformity of CMP, and susceptibility to plasma and mechanical strength and adhesion of ULK are discussed as factors to hamper the applicability of ULK.
Self-assembled porous silica/Cu damascene interconnects for 45nm node and beyond
T. Kikkawa, R. Yagi, S. Chikaki, et al.
A novel scalable low dielectric constant (low-k) film technology was developed by use of self-assembled porous silica. Non-periodic disordered porous silica film structure was formed on a Si wafer by spin-coating a precursor solution with micelles of surfactant and a silica oligomer. Polyoxyethylene-polyoxypropylene-polyoxyethylene (EOPOEO) triblock copolymers and tetraethyl orthosilicate (TEOS) were used as a surfactant and a silica oligomer, respectively. A novel tetramethylcyclotetrasiloxyane (TMCTS) vapor treatment process was developed to reinforce mechanical properties of the porous silica film and to recover process-induced damages. New copper (Cu) electroplating solution and post cleaning process of chemical mechanical polishing (CMP) were developed to improve leakage current characteristics and dielectric constant of the porous silica low-k film. Cu/porous silica low-k damascene structures were fabricated and their characteristics were investigated.
Fabrication of mesoporous silica for ultra-low-k interlayer dielectrics
Nobutoshi Fujii, Kazuo Kohmura, Takahiro Nakayama, et al.
We have developed sol-gel self-assembly techniques to control the pore structure and diameter of ultra-low-k interlayer dielectric (ILD) films. Porous silica films have been fabricated using cationic and nonionic surfactants as templates, resulting in 2D-hexagonal and disordered pore structures, respectively. The disordered mesoporous silica film has a worm-hole like network of pore channels having a uniform diameter. Precursors of the mesoporous silica films were synthesized by use of tetraethyl-orthosilicate (TEOS), inorganic acid, water, ethanol and various surfactants. The surfactants used were cationic alkyltrimethyl-ammonium (ATMA) chloride surfactants for 2D-hexagonal pores and nonionic tri-block copolymer for disordered structures. Dimethyldiethoxysilane (DMDEOS) was added for forming the disordered mesoporous silica. The disordered cylindrical pore structure with a uniform pore size was fabricated by controlling the static electrical interaction between the surfactant and the silica oligomer with methyl group of DMDEOS. Tetramethylcycrotetrasiloxane (TMCTS) vapor treatment was developed, which improved the mechanical strength of mesoporous silica films. The TMCTS polymer covered the pore wall surface and cross-linked to passivate the mechanical defects in the silica wall. Significant enhancement of mechanical strength was demonstrated by TMCTS vapor treatment. The porous silica film modified with a catalyst and a plasma treatment achieved higher mechanical strength and lower dielectric constant than conventional porous silica films because the TMCTS vapor treatment was more effective for mechanical reinforcement and hydrophobicity.
Process control and material properties of thin electroless Co-based capping layers for copper interconnects
Nicolai Petrov, Charles Valverde, Qingyun Chen, et al.
Present work focuses on the process characteristics and material properties of electroless deposited CoWP, and CoWB thin layers. Such material properties as atomic and phase composition of thin Co alloys are compared. Consumption rates of the bath constituents are analyzed. Line resistance change of Cu interconnects capped with CoWP and CoWB is shown. Comparison of two types of capping layers and analysis of their process formation are presented. The capability of the selective capping layer formation on narrow Cu lines has been demonstrated.
Nano-Lithography II: Top Down Approach
icon_mobile_dropdown
Nanoimprint lithography: review of aspects and applications
D. J. Wagner, A. H. Jayatissa
Nanoimprint lithography is a relatively new area of study in nanotechnology. Higher resolution patterning can be achieved with nanoimprint lithography than with light diffraction or beam scattering in photoresists. Nanoimprint lithography is a generic term for nano-scale pattern transfer using embossing techniques. These techniques introduce new problems such as: nano-scale alignment, nanovoids and adhesion to the mold. The pattern is typically created in a mold using e-beam writing in photoresist on a substrate and dry etching to transfer the pattern to the mold. A low wattage dry etching process is preferred as to create as smooth a surface as possible to reduce the likelihood of polymers adhering to the mold surface. These molds are generally very durable and can be used repeatedly. Once the mold is created, an anti-sticking coating is often applied to prevent photoresist adhesion. There have been a variety of parameters used to emboss the patterns in photoresists using elevated temperature, room temperature, single and multiple layers of photoresists to name a few. This article reviews some of the current methods of creating nano-scale geometries and will include some areas of application. It will also discuss examples of achieved resolutions and the difficulties in producing them.
Nano-Metrology
icon_mobile_dropdown
Atomic force microscopy at the 100-nm scale: practical, theoretical, and metrological outlook
Progress in nanotechnology and nanoscience requires the appropriate characterization methods for studies of materials and devices at the sub-100 nm scale. Atomic force microscopy (AFM) offers high- resolution visualization of surfaces and it is often employed for imaging of individual molecules and manipulation of nanometer-scale objects. This method is also widely used for measurements of surface roughness and quantitative examination of technologically important nanoscale-structures. Despite broad applicability of AFM instruments, imaging and probing of mechanical and electric surface properties at the sub-100 nm scale is not yet the routine procedure. Several factors influencing these functions such as geometry of probes, operation modes, detection sensitivity and thermal drift will be discussed in more details. Practical examples of imaging with atomic- and molecular-scale resolution will be given and analyzed from different viewpoints.
New routes for imaging the optical near-fields of plasmonic nanostructures
Gary P. Wiederrecht, Alexandre Bouhelier, Jeffrey E. Hall, et al.
The surface plasmons of metal films and nanostructures are increasingly well-known for applications in sensor technologies and photonics applications. Their potential is largely due to the plasmons' characteristic as an interface phenomenon and the generation of an optical near-field at the interface. In many cases, the spatial dimensions of the near-field lie significantly below the diffraction limit of conventional optics in at least one dimension. This requires novel methods means for imaging their spatial profile and propagation properties. We present recent methods ongoing in our laboratory for imaging plasmonic features of metal nanostructures
The shear-force/ultrasonic microscope: a nanometrology tool for surface science and technology
A. La Rosa, N. Li, K. Asante
This paper describes recent results obtained with the Ultrasonic/Shear-Force Microscope (SUNM), an analytical tool suitable for investigating the quite different dynamic displayed by fluid-like films when subjected to mesoscopic confinement and while in intimate contact with two sliding solid boundaries. The SUNM uses two sensory modules to concurrently but independently monitor the effects that fluid-mediated interactions exert on two sliding bodies: the microscope's sharp probe (attached to a piezoelectric sensor) and the analyzed sample (attached to an ultrasonic transducer). This dual capability allows correlating the fluid-like film's viscoelastic properties with changes in the probe's resonance frequency and the generation of sound. A detailed monitoring of sliding friction by ultrasonic means and with nanometer resolution is unprecedented, which opens potential uses of the versatile microscope as a surface and subsurface material characterization tool. As a surface metrology tool, the SUNM presents a potential impact in diverse areas ranging from fundamental studies of nanotribology, confinement-driven solid to liquid phase transformation of polymer films, characterization of industrial lubricants, and the study of elastic properties of bio-membranes. As a sub-surface metrology tool, the SUNM can be used in the investigation of the elastic properties of low- and high-k dielectric materials, piezoelectric and ferroelectric films, as well as quality control in the construction of micro- and nano-fluidics devices.
Theoretical study of nonlocal effects in the optical response of metallic nanoshells
Railing Chang, P.T. Leung
The optical response of metallic nanoshell which includes coated dielectric particles is investigated in the longwavelength limit in which the nonlocal response of the metal is taken into account. Using our recent formalism which calculates the nonlocal multipole polarizability of such a shell, we have studied how these nanoshells interact with both the far field and near field of a light source. For the far field case, both absorption and scattering cross sections for an incident plane wave are calculated in the dipole approximation. For the near field case, we study how the fluorescence properties of an emitting molecule in the vicinity of a nanoshell are affected, by including all higher multipolar response of the shell. It is found that the nonlocal effects are most prominent for higher order multipoles, and hence for the description of molecules in close proximity interacting with the nanoshell.
Nano-Fabrication: Bottom Up Approach
icon_mobile_dropdown
Nanomaterials fabrication and physics
Z. F. Ren, J. Rybczynski, S. H. Jo, et al.
We have studied growth of carbon nanotubes by chemical vapor deposition and zinc oxide nanowires by physical vapor deposition on carbon cloth with iron sulfate or stainless steel as a catalyst, and various combinations of carbon source and carrier gases. The field emission of these nanostructures shows a combined result of field enhancement from carbon nanotubes or Zinc oxide nanowires and carbon cloth. An emission current density of 1 mA/cm2 was obtained at 0.4 V/μm and 0.7 V/μm for nanotubes and nanowires, respectively. Field enhancement factor of ~4x104 has been observed. Moreover, electron transport characteristics and structural studies of carbon nanotubes have been investigated. Microscopic observations of electric wall-by-wall breakdown imply that transport in the nanotubes is not ballistic and that a significant scattering occurs as carriers traverse nanotubes length.
Fabrication and primary photoevents in self-assembled nanocomposites based on semiconductor quantum dots and tetrapyrrole chromophores
Eduard I. Zenkevich, A. Shulga, Thomas Blaudeck, et al.
The directed surface passivation of semiconductor CdSe, 0r CdSe/ZnS quantum dots (QD) by meso-pyridyl substituted porphyrins (H2P) has been realized via a reversible non-covalent self-assembly interaction of H2P meso-pyridyl nitrogens with ions of the ZnS shell or Cd atoms of the CdSe core in various solvents at ambient temperature. The formation of "QD-porphyrin" nanoassemblies leads to a QD photoluminescence (PL) quenching (intensity decrease and PL decay shortening) accompanied by a H2P fluorescence enhancement. The analysis of experimental Foerster resonance energy transfer efficiencies EFRET (FRET) found via acceptor (H2P) sensibilization and donor (QD) PL quenching shows that EFRET values obtained from fluorescence enhancement are of the order of 6 - 8 % for most QD studied and are thus much smaller as compared to the PL quenching efficiency. With respect to QD PL quenching efficiencies, smaller values of EFRET might be due to different competing reasons: the presence of two independent quenching processes in the nanoassemblies, energy transfer QD -> H2P and photoinduced (electron/hole) charge transfer (CT) or time-dependent QD interface dynamics leading to a noticeable QD PL quenching. The analysis of spectroscopic and kinetic findings reveals that a limited number of "vacancies" accessible for porphyrin attachment is available on the QD surface. Simultaneous presence of porphyrin triads/pentads and QDs in a solution leads to the formation of higly organzed nanoassemblies.
Nano-Photonics and Optical Devices II
icon_mobile_dropdown
Kinoform lenses: toward nanometer resolution
While hard x-rays have wavelengths in the nanometer and sub-nanometer range, the ability to focus them is limited by the quality of sources and optics, and not by the wavelength. A few options, including reflective (mirrors), diffractive (zone plates) and refractive (CRL's) are available, each with their own limitations. Here we present our work with kinoform lenses which are refractive lenses with all material causing redundant 2π phase shifts removed to reduce the absorption problems inherently limiting the resolution of refractive lenses. By stacking kinoform lenses together, the effective numerical aperture, and thus the focusing resolution, can be increased. The present status of kinoform lens fabrication and testing at Brookhaven is presented as well as future plans toward achieving nanometer resolution.
Generation and manipulation of multi-cycle terahertz pulses via optical rectification in poled lithium niobate
Yun-Shik Lee, N. Amer, W. C. Hurlbut, et al.
We demonstrate control of terahertz (THz) waves developing novel devices in the THz regime: THz pulse shapers. THz technology is a relatively unexplored subject, yet the importance of THz wave manipulation cannot be emphasized enough considering its potential application to THz imaging systems, ultrafast optical signal processing, ultrahigh-speed computing, quantum information science, nanotechnology, and chemical reaction dynamics among other areas. THz time-domain spectroscopy (THz-TDS) can assess the performance of the THz pulse shapers monitoring time-dependent THz wave propagation. THz-TDS permits precise measurements not only of the amplitude but also of the phase of THz waves, thus a comprehensive assessment of the THz devices can be achieved. The phase sensitivity is also vital to many applications such as high-contrast THz imaging and quantum control of semiconductor nanostructures. We develop arbitrary THz pulse generators synthesizing THz waveforms via optical rectification in pre-engineered domain structures of poled nonlinear crystals using femtosecond lasers. The terahertz waveforms coincide with the crystal domain structures. The one dimensional nonlinear wave equation simulates the experimental results with a good qualitative agreement. The ratio of the domain length to the optical pulse length in the crystal turns out to be the crucial limiting factor to generating optimum terahertz fields and preventing waveform distortion. Optical pulse shaping techniques is integrated into the THz pulse generators to extend the scope of THz pulse shaping control. Continuously tunable narrow-band THz pulses are generated in a fanned-out periodically-poled lithium niobate crystal. We measure the free induction decay of rotational transitions in gas-phase HCl molecules using the narrow-band THz pulses. The shape of the multi-cycle THz pulses is controlled by adjusting the relative time delay and intensity between the two optical pulses.
2D photonic crystals fabricated in wide bandgap nanocrystalline diamond
Jeffrey W. Baldwin, Maxim Zalalutdinov, James E. Butler, et al.
Chemical vapor deposited (CVD) nanocrystalline diamond films and novel lithography techniques were used to fabricate short wavelength photonic slab crystals (PhC) with feature sizes below 100 nm. CVD diamond was chosen as a promising material for photonic structures due to a large bandgap (5.45 eV) and high index of refraction (2.38). Two methods of fabricating diamond PhC, both based on electron-beam lithography, have been developed. For structures with a high filling coefficient, the best results were obtained with an organic-inorganic bilayer negative resist structure utilizing a polymer-based resist as the bottom layer and a flowable oxide (FOx-12) as the top layer. After E-beam exposure and developing, the FOx pattern was used as a mask for dry etching the bottom resist layer. The resulting structure provides rigid overhang with very fine feature size control that is not affected by the surface roughness of the diamond film. A metal mask was deposited over the patterned bilayer resist followed by lift-off. The remaining metal pattern was used as a protective mask for a highly anisotropic oxygen plasma etch of the nanodiamond film. With the proper choice of the metal mask, this method can be applied to a wide range of materials and feature sizes. Relatively thin nanodiamond structures with a low filling coefficient can be fabricated in a simpler process that utilizes E-beam patterned FOx-12 as an etch mask. Freely suspended PhC slabs were formed by wet etch removal of the sacrificial oxide layer underneath the diamond film. We will present fabrication techniques, experimental data of the mechanical properties of the nanodiamond resonator, and analysis of the optical bandgap of the nanodiamond PhC.
Poster Session
icon_mobile_dropdown
High resolution angular measurement using surface-plasmon-resonance heterodyne interferometry at optimal incident wavelengths
Hai-Pang Chiang, Jing-Lun Lin, Railing Chang, et al.
We have recently demonstrated that ultra high resolution of angular measurement down to 10-6 degree can be achieved via surface-plasmon-resonance heterodyne interferometry, in which the phase difference between p- and s- polarized reflected waves is monitored as a function of the incident angle. Here we give a brief summary of this technique and the rationale based on which such a measurement is possible. As a further study, we have also investigated, via simulation, how the change in environmental temperature will affect the resolution limit of this very versatile technique.
Reactive ion etching of motheye and photonic crystal silicon nanostructures using CBrF3
The results of experimental studies that investigate the reactive ion etching characteristics of Si nanostructures using CBrF3 plasmas is reported. Etch rates and anisotropies were studied as functions of RF and ICP power (0 - 200 W), gas pressure (10 - 190 mT), gas flow, and O2 gas mixtures in a commercial ICP etching system. Both isotropic and anisotropic etching regimes were identified, and used to create < 600 nm feature periodic motheye and photonic crystal nanostructures that possess pyramidal and near- anisotropic profiles, respectively. The ability of CBrF3 to effectively control sidewall profile and etch depth when used with different resist mask profiles makes it an attractive etchant for the fabrication of periodic optical nanostructures that have stringent sidewall and nano-tolerance requirements.
Investigation of C60 films for surface finishing applications
The thin films of C60 fullerenes were investigated as a coating material in surface finishing applications. The films were coated by vacuum evaporation on glass and silicon substrates. These films were annealed in oxygen ambient and exposed to a oxygen plasma. The C60 films coated substrates were also kept immersed in water and NaOH for a long time and tested for mechanical and optical properties. It was found that the optical properties and bulk properties were stable or modified favorably for surface finishing applications during these treatments. Though low temperature heating resulted in densification of C60 films, higher temperature above 300°C resulted in graphitization in oxygen ambient. These findings indicated that the thin films of C60 can be used as a corrosion resistive coating and UV cutting surface material below 300°C.
Preparation of ZnO films in sol-gel method using novel monomers
Ahalapitiya H. Jayatissa, Kun Guo, Ambalangodage C. Jayasuriya
Fabrication of ZnO films in sol-gel method using zinc 2-ethylhexanoate as the novel monomer was investigated. The properties of films produced by this monomer were compared with those of films produced by zinc acetate based conventional monomers. It was found that the new monomer produced zinc oxide films at lower temperatures than zinc acetate. Dependence of degree of crystallinity and crystal size on annealing temperate is discussed in this paper.
Focus image feedback-controlled 3D laser microstructuring
Volker Schmidt, Ladislav Kuna, Georg Jakopic, et al.
The availability of reliable ultrafast laser systems and their unique properties for material processing are the basis for new lithographic methods in the sector of micro- and nanofabrication processes such as two-photon 3D-lithography. Beside its flexibility, one of the most powerful features of this technology is the true 3D structuring capability, which allows fabrication with higher efficiency and with higher resolution compared to a sequential layer-by-layer structuring and build-up technique. Up to now, the two-photon method was mainly used for writing 3D structures quasi anywhere inside a bulk volume. In combination with a sophisticated and versatile machine vision support, the two-photon 3D-lithography is now targeting for micro- and nano-optical applications and the integration of optical and photonic components into optical microsystems. We report on a disruptive improvement of this lithographic method by means of an optical detection system for optical components (e.g. laser diode chips / LEDs and photo diodes) that are already assembled on an optical micropackage. The detection system determines the position coordinates of features of the optical microsystem in all three dimensions with micrometer resolution, combining digital image processing and evaluation of back reflected laser light from the surface of the system. This information is subsequently processed for controlling the fabrication of directly laser written optical and photonic structures inside and around such an optical microsystem. The strong advantage of this approach lies in its adaptation of laser written structures to existing features and structures, which also permits to compensate for misalignments and imperfections of preconfigured packages.