Proceedings Volume 5835

21st European Mask and Lithography Conference

cover
Proceedings Volume 5835

21st European Mask and Lithography Conference

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 16 June 2005
Contents: 13 Sessions, 31 Papers, 0 Presentations
Conference: 21st European Mask and Lithography Conference 2005
Volume Number: 5835

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Plenary Session
  • Immersion Lithography
  • Reticle Manufacturing I
  • Data Processing
  • Simulation
  • Metrology I
  • Reticle Manufacturing II
  • Metrology II
  • Maskless Lithography ML2
  • Poster Session
  • Application and Outlook
  • EUV Mask and Lithography Techniques I
  • EUV Mask and Lithography Techniques II
Plenary Session
icon_mobile_dropdown
Enabling technologies for nanostructuring
Hermann Gerlinger
Galileo Galilei once said in the 17th century that "anyone who understands geometry can understand everything in this world." But he had never heard of molecules, atoms or even smaller components. These days we would imitate Galileo by saying "anyone who understands the processes inside atoms and molecules understands the world." This nano world has its own unique appeal: something that is invisible to the naked eye, yet has dimensions that the mind still requires images/comparisons to understand, is a source of tremendous fascination. Even if we are a long way from understanding these processes, we now know one thing for certain: these days, decisive technological progress is made in the world of the minuscule. Specific examples of this come from the areas of gene technology, materials research and electronics on a daily basis. As a result, nanotechnologies have become the focal point of research and development - not only in industry but also in politics. For example, in March 2004, the German Federal Government launched the German innovation initiative for nanotechnology under the slogan “Nanotechnology Conquers Markets”. According to a press release by the German Federal Ministry of Education and Research (BMBF), euro 200 million in funding will be made available to four leading-edge innovations over the next four years. However, there is still some debate about how to define the term “nanotechnology”. While some see the essence of nanotechnology as the creation of a large entity from the minutest components by means of partly self-organizing processes, such as car paint consisting of nanoparticles, others simply regard the scale of particles or structures as the area of crucial significance. Scientists set a value of 100 nanometers as the "limit". A BMBF brochure argues: "It [nanotechnology] does not, therefore, represent a basic technology in the classical sense-one with clearly defined parameters. Instead, it describes a new interdisciplinary approach that will help us to make further progress in the fields of biotechnology, electronics, optics and new materials." There seems to be no end to the debate, with definitions continuing to clash and overlap. One thing is for sure, though, and that is the importance of nanotechnologies as a driving force for technological progress.
Immersion Lithography
icon_mobile_dropdown
Progress in 193nm immersion lithography at IMEC
In 2004, the successful feasibility study of immersion lithography has completely pushed back the interest in 157nm lithography. Almost the complete industry has redirected its efforts and investment to 193nm immersion lithography. IMEC has announced a new lithography affiliation program on 193nm immersion technology. The program has attracted a lot of attention and a large number of companies have joined the IMEC program in the mean time. In this paper, a status update will be given on the 193nm immersion work at IMEC. Simulation and experimental results are shared and the outlook to the future of immersion lithography will be given. Special emphasis will be put on mask related issues.
Reticle Manufacturing I
icon_mobile_dropdown
Influence of pellicle mounting to predicted mask flatness
Masamitsu Itoh, Soichi Inoue, Tsuneyuki Hagiwara, et al.
The shrinkage of semiconductor devices creates demand for micronization in the photolithographic process. As a result, problems are arising in photolithography in the semiconductor manufacturing process. Focus latitude in photolithography becomes smaller as micronization advances and therefore the flatness of the mask can no longer be ignored. In the previous work, we clarified what the specification of mask flatness should be from the standpoint of its warpage in vacuum chucking of an exposure tool. A two-dimensional approach was applied for the prediction of mask surface after chucking. The approach was simple analytical calculation distinguishing between x-direction and y-direction. Warpage of mask surface after chucking had two modes depending on the directions. On was leverage caused by interaction of mask surface and chucking stage. Another one was warpage along chucking stage surface. The prediction of mask flatness showed good agreement with the actual surface of chucked mask. In this study, influence of pellicle mounting to the prediction was investigated furthermore. Difference of flatness about 0.1-0.2 μm at the pellicle mounting process was observed. This value of the flatness change is not negligible in order to contrl mask flatness for hp65 nm technology node. However, the difference between the chucked mask surface with the pellicle and that without the pellicle decreased. In order to understand the cause of the change of flatness by pellicle mounting reduced by the vacuum chucking, a simulation analysis by a FEM was performed. The simulation showed that the vacuum chucking reduces the difference of flatness to permissible value. The vacuum chucking of an exposure tool negates the warpage caused by the pellicle mounting. Since the power of the leverage caused by chuck stage is overwhelmingly large as compared with the warpage power of the pellicle, this phenomenon is observed. As a conclusion, the prediction of mask flatness with the vacuum chucking has no influence of the pellicle mounting.
Endpoint detection development for 70 nm technology Cr etch process
Pavel Nesladek, Andreas Wiswesser, Oliver Loffler
For the last few years several different photoresists and Cr layers were used for mask making: -I line resist for 363.8 nm laser writer; -e-beam resist; -Positive CAR resist and DUV CAR resist. Introduction of a new resist into production has several risks associated with and requires process adjustments in litho and etch process likewise. This presentation will focus on the differences in the endpoint detection using optical emission spectroscopy (OES), especially at low Cr load, when using above mentioned photo resists. Development of the OES endpoint detection starting from single wavelength is shortly discussed and methods for endpoint detection at low Cr concentration in the gas phase caused by decreasing plasma power and increasing volume of the etch chamber are shown. An important factor for the practical use of the endpoint detection is the reliability, scalability for different Cr loads and dependence on the chamber seasoning. These factors will be discussed finally.
Application of PGSD (proximity gap suction development) to 70 nm NAND mask fabrication
Hideaki Sakurai, Tooru Shibata, Masamitsu Itoh, et al.
CD error caused by loading effect is becoming a significant issue in mask fabrication. At the same time, quantification method of CD error caused by loading effect has not been established in many cases because it is very difficult to measure the error according to various coverages. In previous studies, we presented the development equipment named PGSD (Proximity Gap Suction Development). PGSD can reduce loading error of development process by using of nozzles to spout developer and suck in dirty developer. However, in the case of using PGSD for development process, CD error caused by loading effect seems to still remain. In this paper, we propose a new method to quantify the error caused by loading effect, and estimate the development-induced error out of total CD error. We evaluated 70 nm NAND mask by investigating the correlation between CD and coverage. Moreover, we discuss the residual CD error excluding the loading effect.
ALTA 4700 system mask patterning performance improvements for X-architecture and wafer electrical performance interchangeability with 50kV E-beam
Paul C. Allen, Mike Bohan, Eric R. Christenson, et al.
The capability and performance of the production-proven DUV ALTA 4300 system has been extended by the development of two new optical subsystems: a 0.9 NA, 42X reduction lens and a high-bandwidth acousto-optic deflector based beam position and intensity correction servo. The PSM overlay performance has been improved by modifications to the software algorithms. The enhanced performance, delivered by these subsystem improvements, has been introduced as a new product-the ALTA 4700. Characterization data show improved resolution performance in line end shortening, through pitch CD bias and feature corner acuity. The AOD subsystem reduces stripe beam placement errors and random and systematic beam intensity errors. This has enabled local CD uniformity to be reduced to 4.3 nm (3σ) and global CD uniformity to be reduced to 6 nm (3σ). Second layer overlay performance is now 20 nm (max error). This paper also demonstrates superior X-Architecture performance delivered by the ALTA 4700. Characterization data show global CD uniformity in 0°, 45°, 90°, and 135° orientations better than 6.5nm (3σ); mean CD control in all 4 orientations less than 3.6nm; and smooth angled lines through a wide range of angles. A split lot wafer evaluation demonstrates the equivalence of wafers produced DUV ALTA system reticles vs. those produced with reticles from a 50kV electron beam system. The evaluation shows the interchangeability of these two systems for 90nm Metal 1 applications-with no changes to the wafer OPC (originally optimized for the 50kV system). Characterization data focus on final wafer electrical performance-the performance characteristic that determines ultimate integrated circuit device yield.
Data Processing
icon_mobile_dropdown
Extending OASIS for the unification of mask data representation
The diversification of mask making equipment in modern mask manufacturing has led to a large variety of different mask writing and inspection formats. Dispositioning the equipment and managing the data flow has turned into a challenging task. The data volumes of individual files used in the manufacture of modern integrated circuits have become unmanageable using established data format specifications. Several trends explain this: size, content and complexity of the designs are growing; the application of RET increases the vertex counts; complex data preparation flows post tape-out result in a large number of intermediate representations of the data. In addition assembly steps are introduced prior to mask making for leveling critical parameters. Despite the continuous effort to improve the performance of the individual tools that handle the data, is has become apparent that enhancements to the entire flow are necessary to gain efficiency. One concept suggested is the unification of the mask data representation: establishing a common format that can be accepted by all tools. This facilitates a streamlining of data prep flows to eliminate processing overhead and repeated execution of similar functions. OASIS, the new stream format developed under the sponsorship of SEMI, has the necessary features to full-fill the role of a common format in mask manufacturing. The paper describes the implementation of OASIS as a common intermediate format in the mask data preparation flow as well as its usage with additional restrictions as a common Variable-Shaped-Beam mask writer format. The benefits are illustrated with experimental results. Different implementation scenarios are discussed.
The interaction of mask manufacturability and alt PSM design parameters
For the production of process generations below 100nm, double exposure alternating Phase Shift Masks (Alt.PSM) has been recognized as a proven wafer imaging technique. The large process window and relatively stable process control is seen as one of the advantages of this technology as compared with other RET approaches. The exceptional MEEF performance of the Alt. PSM is also an important factor as it makes the wafer Critical Dimension (CD) control less susceptible to CD errors on the mask. In this work a mask manufacturing simplification technique is studied and an improvement to overall manufacturability and cycle time is demonstrated through reductions in data volume and write time.
Simulation
icon_mobile_dropdown
Mask modeling in the low k1 and ultrahigh NA regime: phase and polarization effects
This paper reviews state of the art mask modeling for optical lithography. Rigorous electromagnetic field (EMF) simu-lation of light diffraction from optical masks is compared to the traditional assumption of an infinitely thin mask, the so called Kirchhoff approach. Rigorous EMF simulation will be employed to analyze mask polarization phenomena which become important in the ultrahigh NA regime. Several important lithographic phenomena, which can be explained only with rigorous EMF simulation, are discussed. This includes the printability of small assist features, intensity imbalanc-ing for alternating PSM, and process window deformations. The paper concludes with a discussion on material issues and algorithmic extensions which will be necessary for an accurate modeling of future mask technology.
Gaussian beam writing strategy: accuracy of using the shape beam simulator SELID for Gaussian beam systems
J. H. Tortai, J. Thiault, R. Tiron, et al.
Obtaining highly aggressive resolution with E-Beam direct writing needs accurate simulation tools. SIGMA-C software SELIDTM allows simulating patterns profiles transferred into a resist film in the case of a Shaped Beam system. However EBeam tools that allow achieving very high resolution, especially for dense patterns, are Gaussian Beam systems. This article deals with the comparison of experimental lines obtained with a Gaussian Beam writing system and with simulation by SELIDTM of such lines. A negative chemically amplified photo resist (NEB22, Sumitomo) was exposed by our Leica UHR 100 keV. By using a high beam step size with a Gaussian spot 5 nm of FWHM (Full Width at Half Maximum), we showed that Shaped Beam simulations obtained with SELIDTM are accurate compared to experiments.
Accurate aerial image simulation using high-resolution reticle inspection images
The use of hardware-based and software-based reticle defect printability simulation systems is expanding as the cost and complexity of reticles increases. Without such systems it has become increasingly difficult to predict the lithographic significance of a defect found on a reticle. The viability of such systems can be judged using several criteria including accuracy, ease of use, level of automation, and the degree to which they can be applied to a wide range of reticle types. Simulation systems have improved in each of these areas. Automated and semi-automated systems have now been developed and integrated into reticle manufacturing. We report on advances made in a software-based simulation system which uses high-resolution reticle inspection images as the basis for the description of the reticle. We show that the simulated aerial images can be compared quantitatively to results from a hardware-based simulation system (the Zeiss AIMSTM tool) for both 193 and 248 nm EPSM reticles. The development of a new set of metrics to judge lithographic significance will be explained. Common procedural mistakes in evaluating the impact of a defect will be discussed.
TRAVIT: software tool to simulate dry etch in maskmaking
S. Babin, K. Bay, S. Okulovsky
A software tool, TRAVIT, has been developed to simulate dry etch in maskmaking. The software predicts the etch profile, etched critical dimensions (CDs), and CD-variation for any pattern of interest. The software also takes into account microloading effect that is pattern dependent and contributes to CD variation. Once CD variation is known, it can then be applied to correct the CD-error. Examples of simulations including variable ICP power, physical and chemical etch components, and optimization of a bias and CD variation are presented. Incorporating simulation into the maskmaking process can save cost and shorten the time to production.
Metrology I
icon_mobile_dropdown
Exploring the fundamental limit of CD control: a measurement of shot noise induced CDU in e-beam lithography
Ming L. Yu, Allan Sagle, Benny Buller
We have used our Quadra lithography system to evaluate the shot-noise-induced critical dimension uniformity (CDU). We found that at the isofocal dose, the shot-noise-induced CDU is directly proportional to the edge blur, and hence the rate of CD changes with dose. This emphasizes the importance of minimizing beam blur of the system. We used a phenomenological model to analyze our experimental data. The model included the counting statistics of the incident electrons and that of the electron induced chemistry. With the proper parameters, this model matches the experimental observations well. It also predicts the limit of the improvements and suggests guides for the optimization of the lithographic process.
Application results at 193nm: lithography emulation by aerial imaging and supplementary high resolution measurements
Axel M. Zibold, Rainer Schmid, Klaus Bohm, et al.
The "AIMS fab 193" tool is an aerial image measurement system for ArF-lithography emulation and is in operation worldwide. By adjustment of numerical aperture, illumination type and partial coherence parameter to match the conditions in 193nm steppers or scanners, it can emulate lithographic exposure tools for any type of reticles such as binary masks, OPC and phase shift structures, down to the 65nm node. The AIMSTM fab 193 allows the rapid prediction of wafer printability of critical features, such as dense patterns or contacts, defects or repairs on masks without the need to prepare real wafer prints using the stepper or scanner. Recently, a high resolution mode has been introduced based on a sophisticated microscope objective, characterized by a high numerical aperture (NA) and large working distance that allows working with pellicle mounted mask. With this lens system a high contrast image with resolution down to 150 nm lines and spaces (L/S) on mask has been demonstrated. In addition to the AIMSTM through-focus mode for printability which is optically equivalent to the latent image in the photo resist of a wafer, the high resolution mode allows the imaging of mask structures in focus and at printing wavelength to review defects or repairs. Such viewing capability is also helpful at the binary stage of a first writing step in the mask manufacturing process. In this work we will present application results for defects and critical features using both, aerial imaging and high resolution mode.
Results of a round robin measurement on a new CD mask standard
F. Gans, R. Liebe, J. Richter, et al.
We report on the results of a recent round robin comparison on new linewidth or CD photomask standards in which several partners from different companies and institutes in Germany were involved. The round robin activity is at the end of a joint project targeting at the development of a new CD mask standard and it was intended to show the performance of the CD mask standard and to test its application in cross-calibration processes. Different type of CD metrology instrumentation was used, namely optical transmission microscopy including water immersion CD microscopes with NA of 1.2 and scanning electron microscopy, supported by additional scanning probe microscopy (SPM/AFM) characterizations. A set of differently processed CD mask standards with smallest line and space structures down to 0.1 μm and based on different mask blanks was produced with identical layout. At the PTB this set of CD standards was calibrated by UV transmission microscopy and by CD-SEM as well. For the round robin an unknown CD mask of the same design as the standards was used and the participants were asked to provide measurement data with their CD metrology tools, referred to their respective PTB calibration standards. It will be shown, that the agreement of measurement data between different CD metrology tools can be significantly improved if proper definitions of the measurand and a metrologically sound approach to signal modelling and interpretation of CD measurement values is applied. The outcome of this comparison provides a valuable source of information for cross calibration issues which are discussed in mask industry today and, moreover, it proves the performance of the newly developed CD mask standard, which now is available to other interested parties, too.
Actual measurement data obtained on new 65nm generation mask metrology tool set
Jochen Bender, Michael Ferber, Klaus-Dieter Roth, et al.
For 65nm photo mask lithography, metrology becomes significantly more important. Especially the requirements of the photo mask users versus critical dimension (CD) control, CD homogeneity and CD mean to target, give strong head-aches to lithography and process control engineers. Despite the fact that optical CD metrology has limitations versus resolution it still provides valuable information since measurement takes place in transmission similar to the application of the mask during printing to the wafer. The optical resolution should at least support to measure minimum features of 250nm on the masks in the linear regime. In order to qualify structure fidelity and width of assist structures and small contact holes as well as certain OPC pattern which are usually smaller than the limits of optical measurement capability, actually CD SEM systems are recognized as the tool of choice to qualify the reticles. No matter which kind of CD metrology tool is used, long-term repeatability over several days must be below 1nm (3 sigma). This paper will show measurement performance data on two types of reticle CD measurement systems targeting the 65nm node reticles. Another issue of high importance is pattern placement or registration metrology on reticles. Roadmaps of leading edge mask users request a maximum placement error of less than 13nm for the 65nm technology node. This strong require-ment challenges the control of the mask lithography tool and the long-term repeatability of the registration metrology system must not exceed 2.5nm. This paper summarizes the actual performance of Leica's mask metrology tool set and the improvements on the individ-ual systems leading to the respective performance.
Reticle Manufacturing II
icon_mobile_dropdown
Application data of the electron beam based photomask repair tool MeRiT MG
With the ever decreasing feature sizes and increasing cost of current and future photolithographic masks the repair of these masks becomes a substantial factor of the total mask production cost. In collaboration NaWoTec GmbH, Carl Zeiss Nano Technology Systems Division and Carl Zeiss Semiconductor Metrology Systems Division have launched a mask repair tool capable of processing a wide variety of mask types, such as quartz binary masks, phase shift masks, EUV masks, and e-beam projection stencil masks. In this paper, besides a brief overview of the tool platform, we will present the automated repair of clear and opaque defects on Cr and MoSi quartz masks. Emphasis will be put onto the resolution and the speed of the repair procedure and the high grade of automation and integration achievable in the repair of highend photomasks. An outlook against the ITRS requirements and the extendibility of the presented solution to further technology nodes will be given in the summary.
Second level exposure for advanced phase shift mask applications using the SLM-based Sigma7300 DUV mask writer
Thomas Ostrom, Angela Beyerl, Henrik Sjoberg, et al.
Phase shifting mask (PSM) development activity is increasing as 193nm optical lithography is extended beyond the 90nm technology node. The requirements on second level mask patterning of advanced PSM have for many applications exceeded the capability of i-line pattern generators, and it is natural for deep-ultraviolet (DUV) pattern generators to be employed for this task. The Sigma7300 DUV mask writer has the attributes required for advanced PSM applications: high resolution, tight CD uniformity and pattern placement, an accurate alignment system, and grid matching to first level exposures. The paper reports system performance for the parameters that constitute the error budget for second level patterning and shows that the mask writer is suitable for second level patterning of advanced PSMs. The alignment system employs the same laser and optics that are used for system calibration and exposure, including a CCD camera that links system calibration to alignment. As a result overlay performance is stable, and is shown to be independent of alignment mark image contrast changes due to different mask materials or resist thicknesses. The mask process uses a conventional chemically amplified resist, and does not require the use of charge dissipating top layers. Combined with throughput that is essentially independent of pattern complexity, DUV pattern generation provides a high yield solution for second level patterning of advanced PSMs for the 65nm and 45nm nodes.
Metrology II
icon_mobile_dropdown
DUV water immersion technology extends linearity: first results from the new 65nm node CD metrology system LWM500 WI
Frank Hillmann, Stefan Dobereiner, Christian Gittinger, et al.
The increased requirements on reticles for the 65nm technology node with respect to CD homogeneity and CD mean to target requirements call for a metrology system with adequate measurement performance. We report on the new water immersion technique and the system concept of the worlds first optical CD metrology system based on this technology. The core of it is a new DUV immersion objective with a NA of 1.2, using illumination at a wavelength of 248nm. The largest challenge of the water immersion technology was the fluid handling. The key compo-nents, a water injection and removal unit, developed by MueTec, solve this issue. To avoid contaminations the purified DI water is micro-filtered. An environmental chamber guarantees extremely stable measurement conditions. The advantages of optical CD measurements in transmitted light compared to CD-SEM is shown. With this system, already installed, excellent results for short- and longterm repeatability for both linewidth and contact measurements were achieved on COG, KrF HT and ArF HT masks. The linearity range of the system is extended down to 220nm. A comparison of CD measurements between the different tool generations such as the Leica LWM250/270 DUV at 248nm with a NA of 0.9 is shown. An outlook on the future potentials of optical mask CD metrology finalises this report.
Flare metrology used for PSD reconstruction
Michael Arnz
During the last years due to low-k1 imaging , mid- and longrange flare as well as the necessity to quantify it , became more and more important for lithography optical systems. The so-called power spectral density (PSD) was proven to be an adequate means for describing rangedependent flare. At Carl Zeiss SMT AG a PSD metrology was concepted and sucessfully tested as one method for newest generation systems. We will give an outline into this aerial image based metrology and especially pay attention onto: 1. signal calibration as well as accurate focussing and lateral positioning 2. vignetting-free detection in face of large numerical apertures (near 1) 3. correlation with alternative flare metrics used in our house Both the necessity and the potential for extending the technique towards 193 nm immersion lithographic systems will be basically shown , too. The application of well-known models like the ABC and (as special case) the fractal PSD-model will be discussed for typical measurements. Limit cases and their physical meaning will be deduced for the fractal model.
Maskless Lithography ML2
icon_mobile_dropdown
Demonstrators: a vital step forward for projection mask-less lithography (PML2)
Christoph Brandstaetter, Ernst Haugeneder, Hans-Joachim Doering, et al.
Electron beam based Projection Mask-Less Lithography (PML2) is one of the promising candidates for fast chip devel-opment and prototyping as well as for small and medium volume device production for the 45nm technology node and beyond. The concept of the PML2 proof-of-concept tool comprises a single electron optical column, a multi beam blank-ing device (programmable "Aperture Plate System") including high speed optical data path and a scanning 300mm wa-fer stage. More than 290.000 beams will be projected onto the wafer used for a highly redundant scanning stripe expo-sure process. The PML2 proof-of-concept tool will be built as part of the European MEDEA+ project T409 and the joint project "Ab-bildungsmethodiken fur nanoelektronische Bauelemente-ABBILD" in Germany. To show the feasibility of PML2 key modules in an early stage several demonstrators and test stands have been developed. In this paper demonstration setups and first results of the electron optics modeling, gun prototype, Aperture Plate System and the Optical Data Path are pre-sented.
Mask manufacture for projection mask-less lithography (PML2): MEMS-technology for a programmable aperture plate system
K. Reimer, M. Witt, D. Kahler, et al.
Objective of this paper is the design and fabrication of the individual plates of the APS. Microsystems Technology is used for aperture chip processing with chip sizes up to 23 mm x 23 mm.
Poster Session
icon_mobile_dropdown
Optimization of anti-reflective coatings for lithography applications
J. Bauer, O. Fursenko, S. Virko, et al.
We present a new multilayer anti-reflective coating (ARC) optimization method. We have developed a software which allows the optimization of ARC consisting of up to 20 layers on any substrate with incident light integration over the aperture of lithography objectives and diffraction effects. The optimization includes not only the determination of optimal layer parameters (i.e. optical constants n and k, and thickness d) for minimized back-to-resist reflection (R12) of exposing light but also the determination of appropriate intervals of parameters corresponding to values smaller then desired values of R12. By this way the calculation of the process window of technological parameters is essentially improved. The optimization procedure delivers the process parameter for the deposition process determining the characteristics for the ARC layer, namely flow ratio of the source gases, for different ARC layers using optical constants obtained by spectroscopic ellipsometry and reflection spectroscopy. Based on these results the optical constants, thickness and corresponding compositions of low pressure (LP) chemical vapor deposition (CVD) silicon-rich nitride (SiNx), plasma-enhanced (PE) CVD silicon-rich nitride (SiNx), and silicon-rich oxynitride (SiNxOy) were obtained. The optimized films fulfill the anti-reflective requirements for ArF (λ=193 nm), KrF (λ=248 nm) laser and i-line (λ=365 nm) lithography. X-ray photoelectron spectroscopy was applied for determination of the film composition. As an example, results of single layer ARC optimization for gate film stack and multi layer ARC optimizations for emitter window and metallization film stack are presented.
Defect printability and inspectability of halftone masks for the 90nm and 70nm node
Karin Eggers, Karsten Gutjahr, Milko Peikert, et al.
This paper presents first results of a defect printability study for the 70nm and 90nm technology. Two 6% halftone test masks with dense line/space (l/s) and contact hole (CH) structures, containing programmed defects were exposed at different production illumination conditions. The resultant data was compared with respect to the mask defect sizes, the Aerial Image Measurement System (AIMS) values and the mask defect inspection sensitivity. As expected over-and under-sized features exhibity the highest printability and AIMS value intensity deviation. No difference was found in the lithographic behavior of dark and clear extension. Additionally (to the determination of the print critical AIMS values) the programmed defect masks were used for the evaluation of a KLA 52x inspection system. The performances of two detection pixels named P125 and P90 in combination with two inspection modes named die-to-die transmission (d2dT) and die-to-die reflective (d2dR) were investigated on 90nm and 70nm dense l/s and contact hole areas with respect to the print results. Over and under-sized small dense structures as well as dark and clear defects centered in a clear or dark structure are challenging for the new inspection tool. For dense contact hole arrays d2dR shows a better performance than d2dT.
Pattern-induced non-uniformity of residual layers in nanoimprint lithography
Nicolas Bogdanski, Matthias Wissen, Hella-Christin Scheer
Imprint processes into thin layers can be described by the equations of squeezed flow. In case they account, in a modified form, for the filling of the stamp cavities during the imprint process, they predict significant non-uniformity of the residual layer in areas with different pattern densities. This phenomenon is even intensified by the different imprint velocities of structures with different pattern sizes. The residual layers achieved and their uniformity strongly depend on the pattern sizes and cavity sizes of the stamp. Non-uniformities are lower under cavity filling on the cost of the absolute value of the residual height. In some cases the layout of a device can in parts be adapted to the imprint process by segmentation of larger structures without changing the device functionality. When the segmentation is designed in a way, that its pattern density is similar to the major pattern density within the functional area of the device thin and uniform residual layers are achieved after very short imprint times.
High productivity object-oriented defect detection algorithms for the new modular die-to-database reticle inspection platform
Syarhei Avakaw
The paper presents a description of one of the main elements of a new modular automatic reticle defect inspection platform-a defect detection sub-system. This platform is currently under active development at Planar Concern. This paper presents the results of the use of the object-oriented approach which was used in the development of the defect detection algorithms for the die-to-database reticle inspection system. Furthermore, the paper presents briefly the architecture and technology of the new modular automatic reticle inspection platform.
Application and Outlook
icon_mobile_dropdown
Lithography trends based on projections of the ITRS
Wolfgang Arden
The microelectronic industry has gone through an enormous technical evolution in the last four decades. Both the tech-nological and economic challenges of microelectronics were increasing consistently in the past few years. This paper discusses the future trends in micro- and nano-technologies with special emphasis on lithography. The trends of minia-turization will be sketched with reference to the International Technology Roadmap for Semiconductors (ITRS). After a description of general trends in technology node timing, an overview will be given on the future lithography require-ments and the technical solutions including options for post-optical lithography as, for example, Extreme UV.
EUV Mask and Lithography Techniques I
icon_mobile_dropdown
Overview of SEMATECH's EUVL program
SEMATECH's Extreme Ultra-Violet Lithography (EUVL) program addresses key critical issues of EUV technology in the source, mask, optics, and resist areas to enable EUV infrastructure readiness for the 45 nm half-pitch node and its extensibility for the 32 nm half-pitch node and beyond. The SEMATECH Mask Blank Development Center (MBDC) in Albany, New York, focuses on demonstrating defect free masks blanks by the end of 2007. In the EUV source area, SEMATECH is working with universities and national laboratories to understand high power source fundamentals and limitations. SEMATECH enables neutral site benchmarking of source supplier progress using in-dustry wide accepted source metrology. Source critical component lifetime and condenser erosion are being ad-dressed on theoretical and experimental levels with SEMATECH providing a neutral site condenser materials erosion benchmarking facility. SEMATECH EUV optics projects are focused on understanding projection optics contamina-tion and lifetime, validating accelerated testing, and benchmarking testing approaches to enable development of an industry wide accepted testing protocol. The SEMATECH EUV Resist Test Center (RTC) in Albany with its high throughput NA=0.3 Micro Exposure Tool (MET) and the SEMATECH MET at Berkeley with its variable illumina-tion enable fundamental resist work by providing test beds for new resists that have to simultaneously meet stringent resolution, line edge roughness, and sensitivity requirements.
Progress on EUV-source development, tool integration and applications
Rainer Lebert, Bernhard Jagle, Christian Wies, et al.
In EUV lithography, extreme ultraviolet radiation of 13.5 nm wavelength is used to print feature with resolutions consis-tent with the requirements of the 45 nm technology node or below. EUV is produced by heating xenon, tin, or other ele-ments to a plasma state, using either magnetic compression or laser irradiation. The key concerns-identified at the third EUV-Symposium-are the ability to supply defect-free masks and to increase source component lifetimes to meet the wafer throughput requirements for high volume manufacturing. Source availability and performance, however, made steady progress within the last years on two lines of actions: High power sources for high volume production and medium and low power sources for allowing in-house metrology and performance studies on EUV-mask-blanks, EUV-Masks, photoresists and optical elements. For "volume production sources" 50 W of collected EUV powers are already available by various suppliers. Compact discharge sources of medium power in the range of 10-100 mW / sr / 2% bandwidth and low power EUV-tubes of low-est cost of ownership and superior stability are ideal for peripheral metrology on components for EUV-Lithography. These low power sources supplement beamlines at storage rings by transferring EUV-applications to individual R&D labs. Proceeding integration of those EUV sources into tools for technology development like open frame and micro-exposers, and in tools for actinic metrology is the best proof of the progress. As of today, the first EUV sources and measurement equipment are available to be used for EUV system, mask, optics and component as well as lithography process development. With the commercial availability of EUV-plasma sources other applications using short wave-length, XUV-radiation will be feasible in a laboratory environment. Some examples of XUV applications are discussed.
EUV Mask and Lithography Techniques II
icon_mobile_dropdown
Production challenges of making EUV mask blanks
Holger Seitz, Frank Sobel, Markus Renno, et al.
Mask Blanks for EUV Lithography require a lot of new properties and features compared to standard Chrome-on-Glass blanks. Starting from completely new low thermal expansion substrate materials with significantly improved surface quality over multilayer coatings for EUV reflection, buffer layers, up to new absorber layers with improved dry etching and inspection properties. In addition highly sophisticated metrology is needed for further improvements and process control. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the ITRS roadmap requirements. Our improvements on low defect EUV multilayer coatings as well as on our metrology methods will be elucidated and some aspects of this will be explained in detail. In addition a new design of EUVL absorber material with experimental results will be reported, including optical performance at inspection wavelength.
High speed reflectometer for EUV mask-blanks
Christian Wies, Rainer Lebert, Bernhard Jagle, et al.
AIXUV GmbH and partners have developed a high speed Reflectometer for EUV mask-blanks which is fully compliant with the SEMI-standard P38 for EUV-mask-blank metrology. The system has been installed in June 2004 at SCHOTT Lithotec AG. It features high throughput, high lateral and spectral resolution, high reproducibility and low absolute uncertainty. Using AIXUV's EUV-LAMP and debris mitigation, low cost-of-ownership and high availability is expected. The spectral reflectance of up to 3 mask-blanks per hour can be measured with at least 20 spots each. The system is push button-controlled. Results are stored in CSV file format. For a spot size of 0.1x1 mm2, 2000 spectral channels of 1.6 pm bandwidth are recorded from 11.6 nm to 14.8 nm. The reflectance measurement is based on the comparison of the sample under test to two reference mirrors calibrated at the PTB radiometry laboratory at BESSY II. The three reflection spectra are recorded simultaneously. For each spot more than 107 photons are accumulated in about 20 s, providing statistical reproducibility below 0.2% RMS. The total uncertainty is below 0.5% absolute. Wavelength calibration better than 1 pm RMS over the whole spectral range is achieved by reference to NIST published wavelengths of about 100 xenon emission lines. It is consistent with the wavelength of the krypton 3d-5p absorption resonance at 13.5947 nm to better than 2 pm.