Proceedings Volume 5188

Advanced Characterization Techniques for Optics, Semiconductors, and Nanotechnologies

cover
Proceedings Volume 5188

Advanced Characterization Techniques for Optics, Semiconductors, and Nanotechnologies

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 4 November 2003
Contents: 9 Sessions, 40 Papers, 0 Presentations
Conference: Optical Science and Technology, SPIE's 48th Annual Meeting 2003
Volume Number: 5188

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Reflectometry, Polarimetry, Ellipsometry
  • Material and Thin Film Characterization
  • Reflectometry, Polarimetry, Ellipsometry
  • Interferometry
  • Characterization in the VUV to XUV Regimes
  • Material and Thin Film Characterization
  • Poster Session
  • Material and Thin Film Characterization
  • Nanostructure Analysis
  • Imperfections, Roughness and Scatter
  • Joint Session with Conference 5190: Complex Surfaces and Angles
  • Joint Session with Conference 5190: Measuring Nanometer-sized Dimensions
  • Poster Session
  • Material and Thin Film Characterization
  • Characterization in the VUV to XUV Regimes
Reflectometry, Polarimetry, Ellipsometry
icon_mobile_dropdown
Characterization of polarization aberrations in liquid crystal devices
Reflective Liquid Crystal on Silicon (LCoS) panels are widely used as light valves for projection displays. LCoS panels and the associated beam splitters, retardance films, and dichroic beam splitters display significant variations in polarization properties over the area, angle of incidence and spectral bandwidth of the projector. This paper surveys these polarization aberrations and describes a high speed Mueller Matrix Imaging Polarimeter (MMIP) for the characterization of these polarization aberrations. The characterization of projection systems and components by the MMIP enables advanced modeling and compensation of polarization aberrations.
Material and Thin Film Characterization
icon_mobile_dropdown
Manufacturability considerations in designing optical monitoring methods for control of plasma etch processes
Vijayakumar C. Venugopal, Andrew J. Perry, Kim V. Wallace, et al.
Robustness and reliability are among the most important requirements of semiconductor manufacturing processes. Their importance grows with the need to continuously improve yield and contribute to reduced cost of ownership. This necessitates including manufacturability considerations in the fundamental design of methods and instruments for in situ control of plasma etch processes. Optical monitoring methods and equipment for broadband (UV-VIS-IR) reflectometry have been developed to meet these advanced needs. The hardware is optimized for sufficiently accurate in situ metrology capabilities in a harsh plasma processing environment with minimal maintenance requirements. Novel model-based approaches are used to determine the absolute wafer state in real time. This facilitates compensating for incoming material variation with minimal reliance on operator input and interpretation. No special test structures on the wafer are required while the process chamber is only minimally modified for diagnostic equipment access. The methods facilitate development of fault detection schemes that help prevent misprocessing of wafers. Thus, demanding process control requirements are met on a wafer-to-wafer basis. The applicability of the technique has been successfully demonstrated for critical silicon-based etch applications such as shallow trench isolation (STI), recess for DRAM and embedded DRAM (eDRAM), and polysilicon gate.
Reflectometry, Polarimetry, Ellipsometry
icon_mobile_dropdown
Thin film 193-nm TNK measurement using multidomain genetic algorithm (MDGA) and with a combination of Beam Profile Reflectometry (BPR), Absolute Ellipsometry (AE), and spectroscopic ellipsometry (SE)
In the l30nm process, controlling the critical dimension uniformity (CDU) within a wafer is crucial. In order to minimize CDU within a wafer, CD swing amplitude against film thickness must be minimized. It is observed that the CD swing amplitude is closely related to the reflectivity of the anti-reflective coating (ARC) layer under the resist. The suppressed reflectivity (ideally zero) from the ARC layer and underlying layers can be achieved by properly selecting a combination of thickness (T), refractive index (N) and extinction coefficient (K) of the ARC layer. Accurate and repeatable measurements of T, N, and K at a wavelength of 193nm play a key role in this film optimization process. In this paper we propose a new method to simultaneously measure T, N, and K for various silicon oxynitride (SION) and organic ARC films. The new methodology uses a multi-domain genetic algorithm (MDGA) to search for global fitting residual minima for SION and organic ARC films using 21-point line-scan data sets logged on each wafer with a combination of BPR, AE and SE measurement technologies. The MDGA-obtained dispersion curves form constituents of a Bruggeman effective medium approximation (EMA) model. By using this unique metrology tool combination, swing amplitudes can be reduced to less than 5nm. The measurement variations of N&K at 193nm from machine to machine on SION and organic ARC films can be minimized to as small as 0.002. We point out that there are no 193nm N&K standards in the world. In this work, we used a set of Therma-Wave standards with thicknesses traceable to NIST standards. We also used the published thermal oxide and crystalline Si dielectric constants (i.e., N&Ks) as our standards for dispersion. The matching of SE (as well as the other technologies) of each tool is ensured through calibrations of SE to the same set of standards. Finally, a recipe using the combination of BPR, AE, and SE technologies allows one to deal with the large TNK variations encountered in the production environment without losing the sensitivity to measure TNK precisely and accurately. On the contrary, a TNK recipe with SE technology only may yield reasonable precision results but would lose the sensitivity to the thin film TNK variation within the wafer and among the wafers.
Optical characterization in wide spectral range by a coherent spectrophotometer
We report on the development and use of coherent spectrophotometers specialized for the unusual requirements of characterizing nonlinear optical materials and multilayer dielectric coatings used in laser systems. A large dynamic range is required to measure the linear properties of transmission, reflection and absorption and nonlinear properties of laser-induced damage threshold and nonlinear frequency conversion. Optical parametric oscillators generate coherent radiation that is widely tunable with instantaneous powers that can range from milliwatts to megawatts and are well matched to this application. As particular example a laser spectrophotometer based on optical parametric oscillators and a diode-pumped, Q-switched Nd:YAG laser and suitable for optical characterization in the spectral range 420-4500 nm is described. Measurements include reflectance and transmittance, absorption, scattering and laser-induced damage thresholds. Possibilities of a system based on a 130-fs Ti:sapphire laser and optical parametric generators are also discussed.
Interferometry
icon_mobile_dropdown
Three-dimensional reconstruction of refractive index distribution in optical phase elements by interferometric and photoelastic tomography
The paper gives a review of interferometric and photoelastic tomography with focus on their necessary modification when applied to 3D refractive index determination in micro-objects. Specifically the influence of diffraction phenomenon and radial run-out occurring during measurement are analyzed. The analysis are confirmed by results of measurements of 3D refractive index distribution in a multimode and single mode fibres.
Recent advances in the development of phase-shifting liquid crystal interferometers for visible and near-IR applications
Kenneth L Marshall, Brett Klehn, Bryan Watson, et al.
Conventional phase-shifting interferometers are extremely sensitive to mechanical shock and transmitted vibration because they utilize separate test and reference optical paths that must be aligned to within a fraction of the wavelength of the light being used. Such interferometers are difficult and time consuming to set up, align, and maintain, and are costly due to the number of optics required for the dual-path design. Common-path interferometers such as the point-diffraction type are much less sensitive to environmental disturbances but until recently have not been capable of phase-shifting. The liquid crystal point diffraction interferometer (LCPDI), first demonstrated by Mercer and Creath, employs a dye-doped, electro-optical LC device as the point-diffraction source to lend phase-shifting capability to the PDI common-path design. The advantage of this approach is that it combines the strengths of both types of interferometer to produce a phase-shifting diagnostic device that is much more compact, robust, and accurate than dual-path interferometers while at the same time using fewer optical elements. Such attributes make this device of special interest for diagnostic applications in the scientific, commercial, military, and industrial sectors where vibration insensitivity, power requirements, size, weight, and cost are critical issues. In this paper, we will describe some recent activities in the areas of materials development, device design, and fabrication techniques for the original LCPDI to improve its accuracy, extend its operation to both the visible and near-IR regions of the spectrum, and to improve its temporal data collection capabilities to near video frame rates.
Digital demodulation of an interferometer for the characterization of vibrating microstructures
Brandon Douglas Pitt, Tristan Jorge Tayag, Mendy Lynn Nelson
The rapid expansion of the microelectromechanical systems (MEMS) industry and the increasing number of applications in communications, displays, and sensing has led to an increasing demand for robust characterization techniques capable of in situ characterization of MEMS structures. Interferometry is well suited to such characterization due to its wide measurement dynamic range, its fine resolution, and its non-invasive qualities. We have constructed a fiber optic interferometer for the in situ characterization of MEMS structures. We report the development and implementation of a real-time digital signal processing (DSP) algorithm to demodulate the interferometer. We have developed a computationally efficient algorithm for both stabilization of the interferometer at quadrature and determination of the target’s vibration amplitude. We have verified our demodulation scheme using a piezoelectric transducer driven mirror as the target. Our current system will measure vibration amplitudes down to 10 nm. Both theoretical and experimental results are presented.
Quadrature phase-shift interferometer (QPSI) decoding algorithms and error analysis
Jianmin Wang, Jason L. Pressesky
A He-Ne laser based Quadrature Phase Shift Interferometer (QPSI) has been developed for the topographic measurement of ultra-smooth surfaces, such as those used as magnetic recording disks. The design uses the polarization property of the light to create two independent interference signals, which are phase shifted by 90 degrees with respect to one another. Because the phase angle is the argument of a sine and cosine function, wrapping of the phase occurs, i.e., the interference amplitude is a periodic function of the phase. An unwrapping or decoding algorithm has been developed using the maximum/minimum intensity method. Finding accurate maximum and minimum values of the intensity signals is the key to minimizing the decoding error. An approach we have developed and describe here provides a more reliable method for finding the values of maximum and minimum intensity of the interference signals in order to create accurate intensity envelopes, which are required for the phase unwrapping algorithm. The decoding error of the algorithms has been evaluated with the synthetic waveforms, which are computer generated to simulate the interference signals from a disk surface with introduced amplitude modulation and phase angle error.
Characterization in the VUV to XUV Regimes
icon_mobile_dropdown
Optimization of optical coatings for the UV/VUV range
Detlev Ristau, Stefan Guenster
Within the research network “New Optimization Concepts for High Quality UV-Coatings” European research groups cooperated to optimize optical coatings for applications in the UV/VUV-spectral range. Besides different production processes for UV-coating systems, an extended spectrum of characterization techniques has been investigated and adapted to the special requirements in the UV/VUV-spectral range by the partners. For a detailed study of the properties and their relation to the deposition parameters of UV-coatings, joint experiments were conducted by the consortium combining the research infrastructure of the partners. In the present contribution a review will be given on selected characterization techniques which were developed or adapted to coatings for the UV-range within the network. Results for fluoride coatings will be presented and discussed in respect to the parameters of conventional and ion beam sputtering processes applied for their production. Besides the optical parameters including absorption and scattering, also the structural properties and the surface quality of single layer MgF2 and LaF3-coatings will be summarized.
Absorption measurement of DUV optical materials at 193 and 157 nm by laser-induced deflection
Christian Muehlig, Siegfried Kufert, Wolfgang Triebel, et al.
Under 193 nm excimer laser irradiation the laser induced deflection technique (LID) is applied to investigate directly the bulk absorption α of high quality fused silica and calcium fluoride. Fused silica samples are characterized by their fluence H dependent absorption α(H). Their small signal absorption coefficients α 0 are extrapolated by an appropriate fitting model. All investigated standard samples with high H2 content fulfill the requirement for optical lithography which is determined by an α0 of less than (formula available in paper). Prolonged direct absorption measurements at relatively high fluences of 10 and 20 mJ/cm2 by the LID technique are compared to state of the art marathon durability tests for H2 poor fused silica at a H = 1.3 mJ/cm2. The very good agreement of the results demonstrates that the measurement time for durability tests of fused silica can be reduced considerably by increasing the applied fluencs H. Calcium fluoride is investigated by both, direct bulk absorption (LID) and conventional transmission measurements. A very good agreement is found by comparing the results of both experiments. For investigations at 157 nm laser irradiation a new compact LID measurement device is introduced. Calibration measurements show that the sensitivity is significantly increased compared to the previous setup. The detection limit of the new setup is estimated to α values of (formula available in paper) for calcium fluoride and fused silica, respectively.
Surface characterization of optically polished CaF2 crystal by quasi-Brewster-angle technique
Jue Wang, Robert L. Maier, John H. Bruning
With the development of 157nm laser lithography, calcium fluoride (CaF2) has become a very important material for excimer laser components. The optical performance of CaF2 at 157nm is strongly related to its surface quality. By analyzing the phase change of reflected p-polarization near the Brewster angle at wavelengths between 150nm and 1000nm, a quasi-Brewster angle technique (qBAT) was developed to characterize the surface quality of CaF2. This technique suggests that the slope of the quasi-Brewster angle is dominated by top surface roughness, while the displacement of the quasi-Brewster angle is determined by subsurface damage. A simple model developed to describe the surface quality of CaF2 includes both top surface and subsurface effects. The relationship between subsurface damage and quasi-Brewster angle shift was determined. Using an ultraviolet ozone (UVO) cleaning process, four surface characteristics, e.g., top surface roughness, top surface contamination, subsurface damage, and subsurface contamination could be distinguished.
VUV light-scattering measurements of substrates and thin film coatings
We have developed a system that measures total and angle resolved light scattering, reflectance and transmittance at 193 nm and 157 nm. This system allows the investigation of substrates and coatings for vacuum-ultraviolet (VUV) components with high sensitivity, down to scattering levels of 1 ppm for total scatter measurement. The dynamic range of the angle resolved scatter measurement set-up exceeds 9 orders of magnitude. Methods for evaluating the quality of CaF2 substrates for low loss optical components for 157 nm are presented. By using roughness data from Atomic Force Microscopy (AFM) measurements combined with scattering measurements surface roughness as well as inhomogeneities in the bulk of the material can be studied. Results are also presented of anti-reflective (AR) and highly reflective (HR) multiplayer coatings on CaF2.
Laser-induced fluorescence of calcium fluoride at 193 and 157 nm excitation
Christian Muehlig, Wolfgang Triebel, Gabriela Toepfer, et al.
The combination of in situ transmission and laser induced fluorescence (LIF) measurements of CaF2 at 193 nm and 157 nm laser irradiation reveals a correlation between selected fluorescence bands and the laser transmission. At 193 nm irradiation, the fluence dependent transmission |dT/dH| of calcium fluoride shows a significant dependence on the temporal pulse shape of the laser source. Furthermore, a quantitative correlation between transmission properties and fluorescence intensity of calcium fluoride is reported for the first time in case of a LIF band at around 740 nm. Newly defined LIF detection conditions yield a remarkable increase of the sensitivity for bands with short lifetimes. Furthermore, different excitation mechanisms for the investigated fluorescence bands are found from both, fluence and pulse number dependent LIF measurements. At 157 nm irradiation, a fluence dependence of the transmission (|dT/dH|,T0) is obtained which is comparable to that of 193 nm excitation. LIF investigations at 157 nm excitation reveal an increase in complexity of the spectra compared to those of 193 nm excitation. It is found that the LIF spectra at 157 nm excitation mostly consist of the same bands as for 193 nm irradiation. Some samples, however, show a LIF band vanishing relative to its intensity at 193 nm excitation or the appearance of new bands characteristic only for 157 nm excitation. From comparing two calcium fluoride samples at 193 nm and 157 nm irradiation it is assumed that the presence of a characteristic 157 nm excited LIF band at around 225 nm is responsible for a drop in transmission at 157 nm.
Image detection system for 157 nm using fluorescent glass
Yukitoshi Otani, Masakatsu Takahashi, Lianhua Jin, et al.
An image detection system for vacuum ultra-violet region used a F2 laser (157nm) as a light source is proposed. A fluorescent glass (LUMILASS-G9) is employed to convert UV light into visible light. Characteristics of fluorescent glass are studied. Some beam profiles of F2 laser are analyzed as a demonstration of the imaging detection. A VUV interferometer is proposed.
At-wavelength metrology on Sc-based multilayers for the UV and water window
Due to the unusual behavior of its optical constants the first transition element Sc with atomic configuration (3p64s23d) is a very attractive candidate for multilayer coatings optimized for the anomalous dispersion region of the 3p-3d transition around 28 eV (45 nm) and for the vicinity of the 2p absorption edge at 398 eV (3.12 nm), respectively. New normal incidence reflectivity data for Sc/Si at Sc 3p are shown with peak values up to 54% and for Cr/Sc at Sc 2p with peak values up to 17% are presented. The influence of optical performance on multilayer growth conditions and parameters are discussed in detail and the necessity of at-wavelength metrology for the final characterization is demonstrated. The results encourage e.g. applications for normal incidence optics used for high-power pulsed UV and x-ray laser systems and for x-ray microscopes operated in the water window.
Mueller matrix polarimeter in 157 nm
Lianhua Jin, Hiroyuki Kowa, Yukitoshi Otani, et al.
A vacuum Mueller matrix polarimeter is developed for measurement of the Mueller matrix of samples, partially, calcium fluoride materials in 157nm wavelength. From the measured Mueller matrix with no sample present, we found the influence of absorption error in the detector and orientation error in quarter-wave plates on measurement results. The birefringence of samples is determined from the Mueller matrix. Experimental results show this Mueller matrix polarimeter is available to be used for characterizing the intrinsic birefringence of materials for processed lens at the 157nm lithography.
Material and Thin Film Characterization
icon_mobile_dropdown
Photothermal microscopy and laser damage in optical components
The development of applications of high power lasers require new characterization techniques for studying behavior of optical materials under intensive illumination, laser damage phenomena. Destructive investigations in silica have led to the conclusion that absorbing defects, typically a few nanometers in size, were responsible for laser damage initiation. The measured precursor densities are very low. The understanding of the true nature of these defects and damage phenomena requires the development of non destructive evaluation techniques with both high spatial resolution and high detectivity. The capability of collinear photothermal deflection to reach sub-micrometric resolution by reduction of the pump beam diameter has been theoretically and experimentally explored. Its ability to detect single absorbing particles has been studied. Currently 100-nm-diameter gold inclusions can be imaged with a signal-to-noise ratio of 8 at the wavelength 1064nm. Such a photothermal microscope has been coupled with an experimental set-up allowing damage threshold measurement at the same wavelength. Thus behavior of 100-nm-gold inclusions in silica can be studied under irradiation. Further improvements by decreasing wavelength, increasing modulation frequency and by using piezoelectric translation stages, will allow to study 10-nm-inclusions. We present an overview of last developments in the field of photothermal microscopy in connection with laser damage.
Advanced industrial fluorescence metrology used for qualification of high-quality optical materials
Axel Engel, Hans-Juergen Becker, Oliver Sohr, et al.
Schott Glas is developing and producing the optical material for various specialized applications in telecommunication, biomedical, optical, and micro lithography technology. The requirements on quality for optical materials are extremely high and still increasing. For example in micro lithography applications the impurities of the material are specified to be in the low ppb range. Usually the impurities in the lower ppb range are determined using analytical methods like LA ICP-MS and Neutron Activation Analysis. On the other hand absorption and laser resistivity of optical material is qualified with optical methods like precision spectral photometers and in-situ transmission measurements having UV lasers. Analytical methods have the drawback that they are time consuming and rather expensive, whereas the sensitivity for the absorption method will not be sufficient to characterize the future needs (coefficient much below 10-3 cm-1). In order to achieve the current and future quality requirements a Jobin Yvon FLUOROLOG 3.22 fluorescence spectrometer is employed to enable fast and precise qualification and analysis. The main advantage of this setup is the combination of highest sensitivity (more than one order of magnitude higher sensitivity that state of the art UV absorption spectroscopy) and fast measurement and evaluation cycles (several minutes compared to several hours necessary for chemical analytics). An overview is given for spectral characteristics and using specified standards. Moreover correlations to the material qualities are shown. In particular we have investigated the elementary fluorescence and absorption of rare earth element impurities as well as defects induced luminescence originated by impurities.
Accuracy of optical thin film parameter determination based on spectrophotometric data
Adequate modeling of high quality non-absorbing dielectric thin films requires the inclusion of the degree of bulk inhomogeneity as one of the parameters in the model describing the film. We show that in the case of a satisfactory choice of a thin film model, the main source of errors in the computed optical parameters of high quality films are systematic errors in spectrophotometric data. Based on practical examples and theoretical predictions we estimate that an accuracy of 0.5% in the determination of optical parameters of dielectric thin films should be considered as a good result when viewed in the context of the current state of the art in optical characterization based on spectrophotometric data. A level of accuracy significantly better than this would require an extraordinary effort.
Poster Session
icon_mobile_dropdown
Reliable determination of wavelength dependence of thin film refractive index
Depending on the choice of thin film models and measurement data used for the characterization analysis one can obtain essentially different characterization results. It is especially difficult to reliably determine refractive index wavelength dependencies in the case of low accuracy measurement data. We consider possible approaches aimed to improve a stability of refractive index determination. The ways of the verification of characterization results are also discussed. Practical examples used to illustrate the proposed approaches are connected with the most difficult case of the determination of the refractive indices of fluoride films in the VUV spectral region.
Material and Thin Film Characterization
icon_mobile_dropdown
Characterization of Ag-Sb-Te alloy and their films for phase-change optical memories
Yagya Deva Sharma, P. K. Bhatnagar
Ag-Sb-Te alloy and films are developed as Optical recording material based on amorphous - crystalline phase transformation. The crystallization process of Ag-Sb-Te films is systematically studied through measurement of recording characteristics to solve the trade off problem between data stability and erasing sensitivity. Phase change optical recording disks have been found to demonstrate long thermal stability of the amorphous recording marks. In the present work, preparation and characterization of the chalcogenide alloy Ag x - Sb 2(1-x) - Te3(1-x) with different composition (x = 0.16, 0.18 and 0.20) has been presented. Samples were prepared using melt quenching technique and the films were grown by thermal evaporation system. The thermal Characterization of Ag-Sb-Te material was studied using differential thermal analysis (DTA) and Optical Characterization (Transmittance and reflectance) respectively. The films were studied for both cases: before and after annealing. The Differential thermal analysis curves were recorded for different compositions and glass transition temperature (Tg), crystallization temperature (Tc) and melting temperature (Tm) have been obtained. It may also be concluded that Tg/Tm ratio is closer to required condition for the phase change optical data storage material. Thermal and optical Characterization shows that the Ag-Sb-Te material is a potential candidate for phase change optical memory application. The optimized composition has also been obtained.
Nanostructure Analysis
icon_mobile_dropdown
Advanced transmission electron microscopy for nano-optics
In order to understand and control the fabrication of nanomaterials, it is essential that they be characterized at close to the atomic scale. The atomic structure of interfaces, defects and nanostructures can be investigated by atomic resolution transmission electron microscopy (TEM), using either high resolution TEM accompanied by simulation or high angle annular dark-field scanning TEM (HAADF-STEM), usually referred to as Z-contrast imaging. Just as atomic force microscopy, scanning tunneling microscopy and the atom probe have become the primary tool for studying surfaces, so TEM has become the method of choice for studying defects and nanostructures within materials. Many analytical signals are available on modern small-probe-forming TEMs. These techniques include convergent beam electron diffraction (CBED), electron energy loss spectroscopy (EELS) and energy dispersive X-ray (EDX) microanalysis. Atomic scale information can be obtained about defects, strain, chemical content, site occupancy, crystallographic and electronic structure. The combination of reciprocal, real space and analytical information with atomic resolution Z-contrast imaging and EELS spectroscopy offers great potential for unraveling structure-property relationships in nanostructures. Examples of two types of nanostructures: quantum well and quantum dot structures in SiC are given as well as of optical multiplayer structures for the deep UV. The strain state in SiC-quantum well structures will be determined and atomic-resolution and EELS spectroscopy illustrations are given for the chemically dissimilar cases of nanocrystals formed after erbium and germanium implantation in silicon carbide. The crystallographic and electronic structure of single nanocrystals will be determined and finally the longstanding question of whether the cluster nucleation is defect-mediated or spontaneous will be addressed.
One way to accomplish the advanced requests of nanometrology: the nanometer coordinate measuring machine (NCMM)
To achieve large area AFM scans in millimeter range without stitching procedures a Nanometer Coordinate Measuring Machine(NCMM) is under development. We have combined a specially manufactured UltraObjective AFM head of S.I.S. GmbH with the first prototype of an ultra precise nanometer positioning system of SIOS Messtechnik GmbH in cooperation with the Technical University Ilmenau. That means having a sensor system that delivers x-, y- and z-position with interferometer accuracy of almost 1nm and z-probing with resolution of an Atomic Force Microscope. Overall we are building a measuring instrument with a working volume of at least 20mm x 20mm x 5mm without leaving nanometer accuracy. Last year we presented the first AFM measurements of the NCMM with scan lengths up to 500 microns. After all this is 5 times more than conventional SPMs can achieve, but it is only the first step on the way to advanced characterization techniques in the field of nanometrology. The calibration of our NCMM has been improved and AFM scans with millimeter ranges are performed. The progress made will be shown in this paper. It also will present observed obstacles regarding to the endeavors of developing advanced metrology techniques for the tremendously increasing field of nanometrology. For example measuring speed, amount of measuring data and suitable measurement strategies have to be discussed.
Characterization procedures for nanorough ultrahydrophobic surfaces with controlled optical matter
Optical coatings with enhanced roughness offer promising prospects for ultra-hydrophobic transparent surfaces with controlled scatter losses. Our coating design approach is based on roughness characterization by power spectral density (PSD) functions as a tool to describe both the wetting behavior and scattering. For the design of architectural glass coatings, the definition of scatter thresholds is necessary. These thresholds can be determined from investigations that link visual inspection and total scatter (TS) measurements. Experiments with rough oxide layers yielded surfaces with a high water contact angle.
Enhancement and quenching of the fluorescence of single CdSe/ZnS quantum dots studied by confocal apertureless near-field scanning optical microscope
Vladimir V. Protasenko, Alan Gallagher, Massimiliano Labardi, et al.
We have studied the influence of Si atomic force microscope (AFM) probes on fluorescence of ZnS overcoated CdSe quantum dots (QDs) in an apertureless near-field scanning optical microscope (ANSOM). In these ANSOM measurements, the excitation light polarization and probe preparation procedure strongly affect the QD fluorescence. When the excitation light polarization is orthogonal to the probe axis (and parallel to the substrate surface), we detect 50 to 80% fluorescence quenching, with a HF-etched Si probe scanning ~10 nm above the sample. With polarization of the excitation collinear with the probe axis, the optical field is amplified many times in the near-field zone, and the net result is a 2-4 times fluorescence enhancement. In this work we utilize a home-built, non-contact AFM and confocal ANSOM microscope under total internal reflection of Ar+ laser beam excitation.
Imperfections, Roughness and Scatter
icon_mobile_dropdown
Characterizing surface roughness of thin films by polarized light scattering
Thomas A. Germer, Michael J. Fasolka
The polarization of light scattered by the surface of a material contains information that can be used to identify the sources of that scatter. In this paper, first order vector perturbation theory for light scattering from interfacial roughness of a dielectric layer is reviewed. In addition, methods for calculating the Stokes vector for scatter from multiple sources and for decomposing a Stokes vector into contributions from two non-depolarizing scattering sources are provided. The polarization of light scattered from interfacial roughness depends upon the relative roughness of the two interfaces and the degree of phase correlation between the two interfaces. Experimental results are presented for three cases: a nominally conformal film, a nominally anticonformal film, and a lateral offset roughness film. The method works well for the nearly conformal film. Difficulties that arise for the other two cases are discussed.
Localization of sub-100-nm particles on wafers with solid state detector arrays
Scatterometry is a powerful and fast measurement method to measure surfaces and its properties. The analysis of the backscattered light from a coherently illuminated surface enables the determination of various integral surface topography constants, surface defects and surface material properties. This paper is a continuation a previous paper (Proc. SPIE Vol. 4779, pp. 72-82). In this paper localization of sub- 100nm polystyrene particles on wafers with solid state detector arrays will be considered. In first part of the paper the angle resolved light scatter sensor system LARISSA (Large Dynamic Range Intelligent Scatter Sensor Approach) will be reviewed. The system consists of an elliptical mirror optics and a CMOS photodiode detector array. The elliptical mirror optics enables the angle resolved and the integral scatter measurement in a solid angle of π sr. The CMOS photodiode detector array consists of 32k single detector elements which are aligned in a circular form. Each single detector element is calibrated in a dynamic range of 7 decades of intensity. The ARS system can be used to realize a scatter measurements without moving parts which is a significant advantage in speed over conventional goniometer setups. The integral scatter measurement mode of the ARS sensor LARISSA enables the detections of very small scatter sources. In the second part of the paper localization of sub- 100nm polystyrene particles on wafers will be considered. The integral scatter measurement mode will be described in detail and measurements at a wavelength of 488nm will be presented. The measurement results will be analyzed by using scatter simulations which are based on discrete sources method. The comparison of measurement and simulation enables the determination of the detection limits of the sensor system and the derivation of design hints for particle scanner systems. Finally the results will be summarized and further development will be outlined.
Joint Session with Conference 5190: Complex Surfaces and Angles
icon_mobile_dropdown
Low- and mid-spatial-frequency component measurement for aspheres
Michael Schulz, Ingolf Weingaertner, Clemens Elster, et al.
The Large Area Curvature Scanning (LACS) method for measuring all types of surfaces including aspheres is based on scanning the surface at discrete lateral coordinate positions, but the amount of information captured during measurement is much greater. The Extended LACS (ELACS) method will be presented that uses this information to evaluate also the mid-spatial frequency components of the surface. An examples of measurement for a surface showing a relatively high mid-spatial frequency content is given and ELACS is compared to other methods. These are various methods subsumed under the term “stitching,” especially stitching interferometry. Different mathematical concepts for these methods are briefly discussed and a novel approach is presented and analyzed with special emphasis being laid on the uncertainty which can be achieved with stitching methods.
An automated subaperture stitching interferometer workstation for spherical and aspherical surfaces
Subaperture stitching is a well-known technique for extending the effective aperture and dynamic range of phase measuring interferometers. Several commercially available instruments can automatically stitch flat surfaces, but practical solutions for stitching spherical and aspherical surfaces are inherently more complex. We have developed an interferometer workstation that can perform high-accuracy automated subaperture stitching of spheres, flats, and mild aspheres up to 200 mm in diameter. The workstation combines a six-axis precision stage system, a commercial Fizeau interferometer of 4” or 6” aperture, and a specially developed software package that automates measurement design, subaperture data acquisition, and the mathematical reconstruction of a full-aperture phase map. The stitching algorithm incorporates a general constrained optimization framework for compensating for several types of errors introduced by the interferometer optics and stage mechanics. These include positioning errors, viewing system distortion, and the system reference wave. We present repeatability data, and compare stitched full-aperture measurements made with two different transmission spheres to a calibrated full-aperture measurement. We also demonstrate stitching’s ability to test larger aspheric departures on a 10 mm departure parabola, and compare the preliminary results with a full-aperture null test.
Absolute high-accuracy deflectometric measurement of topography
The absolute measurement of the surface figure of large, slightly curved specimens with nanometer accuracy is a demanding task and has not yet been satisfactorily solved. Deflectometric methods offer the possibility of measuring specimens of arbitrary large size and arbitrary figure without a reference surface being required. Here the slope is measured and from this the topography is obtained by integration. The method needs a calibrated (multi-) angle measuring tool (e.g. a commercial autocollimator) and well-defined lateral positioning. Recently, two systems have been described that eliminate the influences of scanning guide and other errors by the use of angle differences only (Extended Shear Angle Difference - ESAD). With the first one of these systems, sub-nm uncertainty has already been achieved for optical flats 150 mm in diameter. In this paper we present recent experimental results obtained with the second system and scan lengths up to 88 cm. The contribution of experimental uncertainties to the uncertainty of topography will be discussed. The behavior of ESAD systems with respect to their spatial frequency response will be discussed. It is shown that the uncertainty of the parabolic part (i.e. curvature) of the figure in particular has to be treated separately and dominates the topography. Applications might reach from wafer inspection to straightness stamdards to flat glass production to free-form synchrotron mirror correction.
Joint Session with Conference 5190: Measuring Nanometer-sized Dimensions
icon_mobile_dropdown
Comparative linewidth measurements on chrome and MoSi structures using newly developed microscopy methods
Bernd Bodermann, Werner Mirande, Norbert Kerwien, et al.
Inspection and linewidths measurements of subwavelength structures using optical microscopy are severely confined both by the limited resolution and by a manifold of light-structure interactions affecting the optical image. To receive a better understanding of these interactions and/or to overcome these limitations new microscopy methods have been developed: Polarization-interference-microscopy permits the accurate measurement of the birefringence which is induced by the form of the structures. By interferometric comparison of the TE and TM-polarized image this method provides selective edge detection and localisation in the subwavelength regime because the polarisation difference is situated at the topographical changes of the structure. Two new methods of dark field microscopy ,"alternating grazing incidence dark field microscopy" and "frustrated internal total reflection microscopy", make it possible to suppress optical proximity effects and to overcome the resolution limit of conventional dark field microscopy. For a characterisation of the qualitative and quantitative influence of different influencing variables on measurements of the size of subwavelength structures we performed comparative linewidths measurements on Chrome and phase shifting MoSi photomask structures. The deliverables are compared with rigorous numerical simulations.
Poster Session
icon_mobile_dropdown
Phase-shifting algorithm via wavelength tuning based on temporal Fourier transform
Yingjie Yu, Benhao Zhang, Yunfang Jiao
The phase-shifting algorithm via wavelength tuning based on temporal Fourier transform is according to the Fourier transform technique and the difference technique to realize the processing of the interferogram. This algorithm is applied to test some course profiles or the topography with high steps. The paper describes its main idea and presents the shortcoming of the algorithm proposed by Takeda. Then it puts forward the improved algorithml. The pape describes the realization processing and the errors of the new algorithm. Finally, it supplies the measurement result.
Double-diode configuration of self-calibrating photodiodes
Mykola Guryev, Alexander Kupko, Leonid Nazarenko
The new method of measurement of monochromatic flows of radiation by means of two-diode configuration of self-calibrating photodiodes, which is based on the measurement of photodiode reflectance, is proposed. The proposed method has the advantage over the traditional design of trap-detector, which is related with the possibility of measurement of non-coherent radiation of the wide directional diagram. This method is also proposed in the traditional design of trap-detector for determination and registration of the portion of the measured radiation flow that is reflected from the receiver, for this the corresponding methods of measurement are proposed. The design allows using both the traditional three-diode configuration, and the described two-diode configuration. The results of the research of corrections for the proposed methods of measurement related with the change of s and p components of the radiation flow at the reflection from the photodiodes are given, for this the measurements of spectral reflectance for s and p components of the radiation flow in the wavelength range of 500 to 800nm were conducted. Besides, the influence of radiation polarization on the measurements uncertainty was analyzed. The experiment results of comparison of the proposed design and the traditional one of the trap-detector with the account for the portion of reflected radiation are given. The difference was not more than 0.071% at conducting measurements in the wavelength range of 500 to 800nm.
Finite difference approach to optical scattering of gratings
Fast and efficient finite difference scheme in the vertical direction for optical scattering of gratings is presented. A second order central difference with boundary corrections or a pseudo fourth order operator splitting method is used. A stable recursion formula for the impedance matrix can be obtained. Matrix diagonalizations can be used for rectangular grating profiles when many discretization points are required. The recurrence relation is equivalent to a UL decomposition of block tridiagonal matrix. It is numerically stable compared to existing finite difference methods for gratings and many times faster than the popular rigorous coupled wave analysis method.
Information reconstruction based on phase-shifting technique in digital holography
Yunfang Jiao, Yingjie Yu, Zhiwen Lu
In digital holography technique, we use CCD for recording. The reconstruction executes in computer and can also realize special reconstruction like conventional holography. The computer-reconstruction can be carried out by mathematical transform for single-fringe hologram, which has larger additive error. Now we import phase-shifting technique which can improve the reconstructive precision. Meanwhile it brings new problems because this means can only receive the object information on holography plane. In this paper, we present virtual interferometry method and reverse transform method to solve reconstruction problems in phase-shifting technique and verify the methods by simulation.
Radioactive decay of excitations in ZnSe (Te)
S. I. Gordeev, Sergey N. Galkin, S. A. Kostyukevich, et al.
Electro optical characteristics of the semiconductor compounds has been researched from the discovery of the semiconductor materials. One of the perspective ideas in this field was the possibility to obtain "cool" phosphorescence’s by means of the relaxations of the energy by recombination of excited electroni -holder pairs. In this work the conditions of obtaining of the electro luminescent structures based ZnSe(Te), their characteristics and structure of optical - and electro-luminescence centers are investigated. The Structure Me|ZnSe(Te):Zn|ZnO|ITO has shown the characteristics of the led, having bands of the radiation in the field of 540, 640 and 740 nm. The branch of the direct mode had a negative nonmonotonic area, because the thickness of ZnO quantum-dimensioned. The resemblance o the spectrums of electroluminescence of the crystals in abundance of Zn and film structures, which were obtained using the method of vacuum condensation results in that surface, cathode, nature of luminescence of ZnSe(Te) is possible to b explained in account of injection of the carriers in semiconductor.
Single steady frequency and narrow-linewidth external-cavity semiconductor laser
Weirui Zhao, Pengfei Jiang, Fuzeng Xie
A single longitudinal mode and narrow line width external cavity semiconductor laser is proposed. It is constructed with a semiconductor laser, collimator, a flame grating, and current and temperature control systems. The one facet of semiconductor laser is covered by high transmission film, and another is covered by high reflection film. The flame grating is used as light feedback element to select the mode of the semiconductor laser. The temperature of the constructed external cavity semiconductor laser is stabilized in order of 10-3°C by temperature control system. The experiments have been carried out and the results obtained - the spectral line width of this laser is compressed to be less than 1.4MHz from its original line-width of more than 1200GHz and the output stability (including power and mode) is remarkably enhanced.
New magnetic semiconductor sulfospinels with cobalt
We studied magnetic and electric properties new magnetic semiconductor CuCr1.5Sb0.5S4 and compounds with Co. All compounds are characteristic for antiferromagnetics. A giant negative magnetoresictance (for the compound with x=0.25 -16% in a magnetic field of 27 kOe, with x=0.5 -24% in a field of 30 kOe ) has been fond in the new magnetic semiconductors xCoCr2S4 - (1-x)CuCr1.5Sb0.5>S4, (x=0.25;0.5). Magnetoresistance in practically absent in CuCr1.5Sb0.5S4 and does not exceed 2% in CoCr2S4. The giant magnetoresistance and the positive value of θ are evidence for the existence of afmons in compound with Co.
Material and Thin Film Characterization
icon_mobile_dropdown
A review of the emerging SEMI standards for particle scanners
A suite of SEMI standards is emerging with new specifications for the calibration of particle scanners used in the semiconductor industry. The four documents specify the characteristics required of PSL sphere depositions to be used for calibration, the manner in which capture rate is calculated, the method used for scanner calibration and a check for deposition system use. This paper overviews the background technology as well as the struggle of various industry segments as they worked out the key requirements of these documents.
Characterization in the VUV to XUV Regimes
icon_mobile_dropdown
Comparison of roughness measurement with atomic force microscopy and interference microscopy
Anders Kuhle, Bengt-Goran Rosen, Joergen Garnaes
Atomic force microscopy (AFM) and interference microscopy are two methods often used to measure roughness, but the probe size is very different and they respond to different physical properties (hardness and reflectivity). In earlier work we have shown that the limited resolution of interference microscopy can be approximated by the longwave components of a Gaussian filtering of the AFM image with a cut-off wavelength λc a little larger than the wavelength of light. This description was valid for smooth and hard surfaces with good reflectivity such as polished metal surfaces (Rq < 10 nm). In this paper we extent the analysis to directly measure the effective cut-off wavelength λc = 2600 nm for a particular interference microscope based on the profiles of grooves with a period of 3000 nm, a depth of (104 ± 1) nm and vertical sidewalls. To validate the measured parameter λc, the same area on a polished hip joint prosthesis was measured by both an AFM and the particular interference microscope. Without a Gaussian filtering of the AFM image the appearance and calculated roughness of the images were significantly different (Ra = 1.7 nm, Rq = 2.2 nm versus Ra = 1.0 nm, Rq = 1.2 nm). However, using the measured cut-off wavelength the visual appearance of the longwave components of the AFM image and the interference microscope image are almost identical and the calculated roughness is equal. This strongly suggests that an effective cut-off wavelength can be measured and used to give consistency between the different methods in the range where they overlap.