Proceedings Volume 5039

Advances in Resist Technology and Processing XX

cover
Proceedings Volume 5039

Advances in Resist Technology and Processing XX

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 12 June 2003
Contents: 12 Sessions, 152 Papers, 0 Presentations
Conference: Microlithography 2003 2003
Volume Number: 5039

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Future Resist Directions
  • Materials for 157-nm Resists I
  • Materials for 157-nm Resists II
  • Antireflective Coatings
  • Materials for 193-nm Resists
  • Processing for 193-nm Resists
  • Advanced Resist Processing
  • Resist Fundamentals
  • Resist Line Edge Roughness
  • Novel Resist Materials
  • Emerging Resist Technology: Joint Session
  • Section
  • Materials for 193-nm Resists
  • Section
Future Resist Directions
icon_mobile_dropdown
Extendibility of chemically amplified resists: another brick wall?
The chemically amplified resist concept, first described two decades past and originally targeted for the 1000 nm device generation, has proved to have remarkable versatility. The semiconductor industry has come to rely on the properties of CA resists to achieve high resolution, high aspect ratio imaging accompanied by the high throughput that stems from their catalytic imaging mechanism. As the industry maps the evolution of lithographic technology to the 20 nm regime, it is appropriate to review the factors that control the performance of CA resists, and examine whether the traditional evolutionary path of materials refinement will provide materials capable of supporting device manufacturing at those dimensions. The impacts of image blur, line-edge roughness and shot noise on the ability to image CA resists at nanoscale dimensions will be discussed.
Resist requirements in the era of resolution enhancement techniques
At the heart of the tremendous advances of optical microlithography are the resists and the people who drove them to ever higher performance. In 1980, a resist could image reliably around a k1 of 1.0 to 0.8. Today without any other extreme RET, resists with anti-reflection coatings production imaging has extended resolution to 0.6 to 0.45 k1, effectively doubling the NA of the integrated imaging system. The manipulation of the interrelationships of the physics and chemistry of the imaging process has made this possible. History shows that resists must be designed to best utilize the image being formed in them and that a resist designed for one application may not work for another. This holds true for resolution enhancement techniques as well, for example the quality and brightness of a weak phase-shifted contacts depends on the strength of a side lobe, however, if the side lobe is too bright it will print unless the resist is modified not to print it. Also as technology moves to smaller features severe proximity effects make it necessary to adjust resist performance to compensate for these effects. In this discussion we concentrate our discussion to the physical chemical effects that makes it possible to extend resolution using resolution enhancement techniques. We will concentrate on energy coupling into the film with high NA imaging at the diffraction limit, the reaction-diffusion reaction and the impact of acid and base diffusion in chemically amplified resists.
Materials for 157-nm Resists I
icon_mobile_dropdown
Evaluation of novel fluorinated resist matrices for 157-nm lithography
Francis M. Houlihan, Andrew R. Romano, David Rentkiewicz, et al.
As part of a new generation of more transparent 157 nm resist platforms we are developing, a novel resist system is described that has higher transparency and contrast than AZ FX 1000P. Using a new protecting group strategy, encouraging results have been obtained with both poly(α,α-bis(trifluoromethyl)bicyclo(2.2.1)hept-5-ene-2-ethanol) and a more transparent perfluorinated resin (TFR). These new resist systems show absorbance values as low as 1 μm-1 at 157 nm, have twice the contrast (i.e., 12 instead of 7) of AZ FX 1000P, and have neither significant dark erosion nor do they switch to negative tone behavior within the dose range studied. The dry etch resistance of the TPR platform is found to be superior to APEX-E DUV resist for polysilicon but somewhat lower for oxide etches. Features as small as 50 nm lines and spaces were resolved for slightly relaxed pitches (1:1.5 micron). By adjusting the base level it is possible to improve the photospeed by a factor of more than 10 while still maintaining a resolution of 70 nm L/S features.
Advances in TFE-based fluoropolymers for 157-nm lithography: a progress report
Iqbal Sharif, Darryl DesMarteau, Larry Ford, et al.
Significant progress has been made in 157 nm resist technology. Material development for this emerging field is continuing at a frantic pace. Many new and interesting polymers are surfacing for these studies. Fluorine-containing polymers have become the prominent platform for a variety of research activities within this field and a tremendous amount of progress has been achieved. Since the absorbance of a variety of different organic polymers at 157 nm was first reported, a vast array of fluorine-containing materials has been proposed and designed for photolithography at this wavelength. Free radical polymerizations, metal-catalyzed addition polymerizations and metal-catalyzed copolymerizations with carbon monoxide have produced materials that have yielded positive-tone images with 157 nm exposures. Major progress has been made in decreasing the absorbance of fluoropolymers based on Tetra Fluoro Ethylene (TFE). A number of key monomers have been synthesized based on the learning this project has cataloged over the past 2-½ years. Development of these new and interesting monomers has been done with copolymerizations of TFE taken into consideration. Our project has focused on polymer synthesis efforts, learning how to maximize transparency at 157 nm with consideration to etch resistance and imaging properties of these materials. Vacuum-UV (VUV) studies and variable angle spectroscopic ellipsometry (VASE) data will be shown on numerous fluorinated compounds and synthesized polymers. Our most recent materials have an absorbance of less than 1/μm and etch resistance equal to first generation KrF materials. This paper will provide synthesis, imaging and etch studies that have been completed using a 0.60NA and 0.85NA 157nm micro exposure system.
Dry-etching resistance of fluoropolymers for 157-nm single-layer resists
Yasuhide Kawaguchi, Jun Irisawa, Shun-ichi Kodama, et al.
Novel fluoropolymers having partially fluorinated monocyclic (5-membered and 6-membered ring) structure have been synthesized with radical cyclo-polymerization, which have C-F bond in the polymer main chain and also possess fluorocontaining acidic alcohol group. These polymers have excellent transparency lower than 1.0 μm-1 at 157nm wavelength, a small amount of outgassing, high sensitivity and good adhesion to the wafer. However, this fluoropolymer have lower etching resistance (half of conventional KrF resists) and it must be improved for applying to the single-layer resist. In this paper, we show the new model of the estimation of the dry-etching resistance for designing polymer compositions. It is well known that the model using carbon-atom-density as a parameter is useful for estimating dry-etching resistance. However, these models did not agree with the results of our fluoropolymers. Our new model was focused on the surface area and the volume of the polymer. We succeeded to explain the relationship between the dry-etching resistance and the composition of the fluoropolymer. According to this model, the compositions of fluoropolymer such as protective groups, protective ration and co-polymer units were optimized to improve their etching resistance.
Novel main-chain-fluorinated polymers for 157-nm photoresists
Main-chain-fluorinated base-resins, including tetrafluoroethylene and norbornene derivatives, were synthesized and their fundamental properties, such as transparency at 157 nm and solubility in a standard alkaline developer, were characterized. A high transparency, i.e., absorbance of less then 0.5 μm-1, was achieved by optimizing the polymerization conditions with a variety of counter monomers. It was found that the polymerization conditions could also control the dissolution rates of the fluoropolymers and increased the dissolution rate of unprotected fluoropolymers by about three orders of magnitude, which was sufficient for the alkaline developability. Positive-working resists based on fluororesins were developed and showed good transparency of less than 1 μm-1 at 157 nm, and good solubility in a standard alkaline solution of 0.26-N tetramethylammonium (without any swelling behavior). And an acceptable etching rate as resistant as ArF resists was obtained and 65-nm dense lines could be delineated by the exposure at 157-nm wavelength.
Rational design in cyclic olefin resists for sub-100-nm lithography
Wenjie Li, Pushkara Rao Varanasi, Margaret C. Lawson, et al.
In an effort to design our next generation resist materials for sub-100nm lithography, we have introduced the hexafluoroisopropanol (HFA) functionality into the cyclic olefin (CO) polymer structure. It is found that the fluorine-containing HFA group not only helps reduce the 157nm optical density (O.D.) of the polymer and makes it suitable for 157nm application, but also dramatically improves the dissolution properties of the resulting CO polymer. Copolymers with a wide range (20-80%) of norbornene HFA (NBHFA) concentrations show little swelling behavior in aqueous base developer. The dissolution properties of the CO polymer could be further improved by combining the HFA and lactone structures in the CO polymers. This new version of CO polymers maintain a good etch resistance and excellent surface roughness after etch despite the fluorine content. Lithographic evaluation of resists based on these polymers (Cobra 5K) using a 193nm exposure tool (0.75 NA) reveals that Cobra 5K has a low post exposure bake (PEB) sensitivity (<0.5 nm/°C), good process window for both line/space (L/S) and contact holes patterns, and outstanding resolution capabilities especially for contacts application (<100nm).
Hydrogen bonding and aqueous base dissolution behavior of hexafluoroisopropanol-bearing polymers
Hiroshi Ito, William D. Hinsberg, Larry F. Rhodes, et al.
The aqueous base dissolution behavior and hydrogen bonding interaction of polymers bearing hexafluoroisopropanol (HFA) as an acid group have been investigated. While pKa of HFA is similar to that of phenol, the dissolution rate of HFA polymers in aqueous base varies from one structure to another. Poly(norbornene hexafluoroisopropanol) (PNBHFA) dissolves in 0.26 tetramethylammonium hydroxide (TMAH) aqueous solution at a rate of 1,500-8,000 A/sec, which is not correlated to the number-average or weight-average molecular weight. Furthermore, PNGHFA exhibits a complex multi-stage dissolution kinetics in 0.21 N TMAH, depending on the molecular weight and molecular weight distribution. Hydrogen bonding of HFA polymers has been investigated using FTIR. Polynorbornene and polystyrene bearing HFA (PNBHFA and PSTHFA) are much less hydrogen-bonded than poly(4-hydroxystyrene)(PHOST). HFA-ester copolymers tend to have more free OH groups than a HOST/t-butyl acrylate copolymer. The carbonyl bond in 2-trifluoromethylacrylic units is less polarized and therefore less prone to hydrogen bonding with OH than C=O in (meth)acrylate units. The interaction of acid generators with the HFA group can be studied by 19F NMR. Both ionic iodonium and nonionic imidesulfonate acid generators interact strongly with HFA and inhibit the dissolution of HFA polymers in aqueous base while ionic acid generators are better dissolution inhibitors of phenolic resins.
Materials for 157-nm Resists II
icon_mobile_dropdown
Single-layer fluoropolymer resists for 157-nm lithography
Michael K. Crawford, William B Farnham, Andrew E. Feiring, et al.
We have developed a family of 157 nm resists that utilize fluorinated terpolymer resins composed of 1) tetrafluoroethylene (TFE), 2) a norbornene fluoroalcohol (NBFOH), and 3) t-butyl acrylate (t-BA). TFE incorporation reduces optical absorbance at 157 nm, while the presence of a norbornene functionalized with hexafluoroisopropanol groups contributes to aqueous base (developer) solubility and etch resistance. The t-butyl acrylate is the acid-catalyzed deprotection switch that provides the necessary contrast for high resolution 157 nm imaging. 157 nm optical absorbances of these resists depend strongly upon the amount of t-BA in the polymers, with the TFE/NBFOH dipolymers (which do not contain t-BA) exhibiting an absorbance lower than 0.6 μm-1. The presence of greater amounts of t-BA increases the absorbance, but also enhances the dissolution rate of the polymer after deprotection, yielding higher resist contrast. Formulated resists based upon these fluorinated terpolymer resins have been imaged at International Sematech, using the 157 nm Exitech microstepper with either 0.6 NA or 0.85 NA optics. We have carefully explored the relationship between imaging performance, resist contrast, optical absorbance, and t-BA content of these terpolymer resist resins, and describe those results in this contribution.
Dissolution inhibitors for 157-nm photolithography
Charles R. Chambers, Shiro Kusumoto, Guen Su Lee, et al.
The focus of 157 nm lithographic research is shifting from materials research to process development. Poly (2-(3,3,3-trifluoro-2-trifuoromethyl-2-hydroxypropyl) bicyclo[2.2.1]heptane-5-ene) (PNBHFA) has received a great deal of attention as a possible base resin for 157 nm lithography. The Asahi Glass RS001 polymer, which was introduced at SPIE in 2002, has also shown promise as a 157 nm base resin due to its low absorbance. Partial protection of either polymer with an acid labile protecting group is a common design for functional photoresists. We previously reported the blending of the carbon monoxide copolymers with PNBHFA copolymers to achieve the critical number of protected sites for optimum imaging performance and contrast. Our group has since studied the use of the unprotected base resin with an additive monomeric dissolution inhibitors (DIs) and a photoacid generator (PAG) to form a three component resist. Surprisingly unprotected PNBHFA was discovered to have dissolution inhibition properties that are far superior to the dissolution inhibition properties of novolac. Several DIs were prepared and tested in PNBHFA to take advantage of the resins dissolution inhibition properties. We have also recently explored the performance of a two-component resist using PAGs that also function as DIs.
Development and characterization of new 157-nm photoresists based on advanced fluorinated polymers
Tamio Yamazaki, Takamitsu Furukawa, Toshiro Itani, et al.
Fluorinated polymers show a good transparency at the 157-nm exposure wavelength for single-layer resists. We have developed fluorinated resist polymers for 157-nm lithography. These polymers are main-chain fluorinated polymers synthesized by the co-polymerization of tetrafluoroethylene (TFE) and polymers such as poly(TFE/norbornene/α-fluoroolefin) fluoropolymers (FP1). In this paper, a number of polymerization initiators were evaluated in the polymerization of PF1-type polymers in order to investigate the effect of polymer end groups on optical and dissolution properties. We found that the polymer end group greatly affects the dissolution properties of these polymers when using a standard 0.26N tetramethylammonium hydroxide (TMAH) aqueous developer solution. These end groups also affect the polymer transparencies at 157-nm, and the resulting lithographic performance. The fluorocarbon initiator named “F2” induced the lowered absorbance (~0.4μm-1) and an increase in the dissolution rate (~300 nm/sec) without noticeable amounts of swelling. These polymer-based resists can achieve a resolution of less than 60-nm using a 157-nm laser microstepper (NA=0.85) with a Levenson-type strong phase shifting mask.
F2 resist outgassing studied by in situ QCM technique
Masamitsu Shirai, Toyofumi Shinozuka, Masahiro Tsunooka, et al.
An in-situ quartz crystal microbalance (QCM) method was applied to quantitatively measure the outgassing from F2 resist materials in real time. The frequency change of quartz crystal coated with resist films was monitored during exposure and the mass desorbed from the resist films was calculated as amounts of outgassing. The sensitivity of the present QCM systems was about 1 ng. The outgassing rate during exposure was strongly dependent on the structure of polymer backbone and blocking unit of resists. VUV light-induced degradation of resist films was investigated using reflection absorption FT-IR spectroscopy and it was confirmed that the outgassing was mainly generated from the photodegradation of acid labile protecting groups in resist films. Outgassing from photoacid generators incorporated in fluorinated cyclopolymers and poly(methacrylonitrile) films was also studied. Outgassing rate for diphenyliodonium triflate and diphenyliodonium nonaflate was slightly higher than those for triphenylsulfonium triflate and triphenylsulfonium nonaflate.
Dependence of outgassing characters and total amount of outgassed species at 157-nm exposure on the structures of resist base polymer
Yoshinori Matsui, Satoshi Umeda, Shiro Matsui, et al.
We directly measured mass spectra and change in mass spectral intensity as a function of time for outgassed species from fluoropolymers and fluorine-containing resists during 157 nm exposure using quadrupole mass spectrometer at pressure about 4×10-7 Torr, in order to investigate dependence of outgassing characters on the structure of resist base polymers. We also investigated pressure increase resulting from outgassed species from 157-nm-irradiated fluoropolymers and their resists. The information obtained is as follows: (1) Side-chain-fluorinated polymers produce fluorine-containing outgassed species via scission of side chain. (2) Some fluoropolymers produce HF during 157 nm exposure. Fluorine is suggested to easily dissociate and react with hydrogen to form HF in the exposure of copolymer of tetrafluoroethylene and tert-butyl α-fluoroacrylate. (3) Outgassed species related to deprotection of blocking group originate from some acetal resists during and after exposure at room temperature, although the species were observed only during exposure in the exposure of corresponding base polymer. (5) Regarding base polymers and their resists employed, pressure increase in vacuum chamber becomes smaller in the next order, base polymers with ester groups in their side chains, base polymers having side chains other than ester groups, base polymers without side chains.
Antireflective Coatings
icon_mobile_dropdown
New generation of bottom antireflective coatings (BARCs): photodefinable BARCs
Douglas J. Guerrero, Tonya Trudgeon
Three polymer systems, polycarbonates, polysulfonyl esters, and hybrid carbonate-sulfones, were evaluated as materials for a new generation of bottom anti-reflective coatings (BARCs). The polymers were tested for their solubility in accepted industry solvents, resistance to photoresist solvents after casting, thermal stability, and photosensitivity. From these, polysulfonyl esters show more promising properties as photodefinable BARCs than the other systems because of their good solvent solubility and resistance to photoresist solvents after casting. These polymers can be formulated in ethyl lactate (EL) or 1-methoxy-2-acetoxypropane (PGMEA) but are insoluble in the same solvents after curing above 170°C. The polysulfonyl esters were thermally stable up to ~300°C in air. The cured films were exposed with DUV light at 200 to 600 mJ/cm2. After exposure, the films can be easily removed in alkaline developer.
Highly etch-selective spin-on bottom antireflective coating for use in 193-nm lithography and beyond
Extending 193nm lithography to well below 100nm resolution will depend on high NA tooling coupled with thin resist processing. Semiconductor manufacturing uses BARC's (Bottom Antireflective Coating) based on organic spin coatable polymers, to improve the resolution by absorbing light that otherwise will be reflected back into the resist. However, the use of organic BARC's for patterning sub 100nm features will be limited due to poor etch selectivity to the photo resist. IBM has developed a new class of polymers that can function as planarizing BARC's. These materials show an etch selectivity to the photo resist in excess of 3:1 in fluorocarbon based ARC-open RIE chemistry. The hardmask properties of these materials for oxide open are equivalent to typical resists. Furthermore these materials can be implemented like organic ARC's and are stripped in resist strips available in manufacturing. Basic materials characterization data, optical tunability, lithographic performance with different resists, process window data, and complete integration schemes will be presented.
Anthracene-organosiloxane spin-on antireflective coating for KrF lithography
Joseph T. Kennedy, Teri Baldwin-Hendricks, Mello Hebert, et al.
A sacrificial, spin-on 248nm UV absorbing organosiloxane film has been developed to enable via first trench last (VFTL) dual-damascene patterning. Amongst other design objectives one key material requirement was that the film be SiO based to facilitate trench etch. Because our starting organosiloxane polymer is transparent a chromophores that absorbs at 248 nm had to be included. Anthracene was selected as it offered the largest amount of absorption per mole. Unfortunately, commercially available anthracene moieties with different functional groups when added directly to the organosiloxane polymer solution resulted in films of very marginal quality. The primary issue was the poor solubility and thermal stability of the anthracene compound within the organosiloxane matrix. To address this fundamental problem the chromophore was stabilized by chemically attaching it to ethyl-orthosilicate. The resulting molecule, 9-anthracene carboxy-methyl triethoxysilane (TESAC) was developed. By combining the appropriate amounts of TESAC, TEOS and other organo-TEOS monomers with the appropriate solvents and a catalyst a stable, 248nm light absorbing anthracene-organosiloxane polymer was developed. This work has led to the development of Duo248 organosiloxane based bottom antireflective coatings. Topics such as the development of TESAC, lithography, plasma etch and selective removal will be discussed.
Hardmask technology for sub-100-nm lithographic imaging
The importance of hardmask technology is becoming increasingly evident as the demand for high-resolution imaging dictates the use of ever-thinner resist films. An appropriately designed etch resistant hardmask used in conjunction with a thin resist can provide the combined lithographic and etch performance needed for sub-100 nm device fabrication. We have developed a silicon-based, plasma-enhanced chemical vapor deposition (PECVD) prepared material that performs both as an antireflective coating (ARC) and a hardmask and thus enables the use of thin resists for device fabrication. This ARC/hardmask material offers several advantages over organic bottom antireflective coatings (BARC). These benefits include excellent tunability of the material's optical properties, which allows superior substrate reflectivity control, and high etch selectivity to resist, exceeding 2:1. In addition, this material can serve as an effective hardmask etch barrier during the plasma etching of dielectric stacks, as the underlying silicon oxide etches eight times faster than this material in typical fluorocarbon plasma. These properties enable the pattering of features in 1-2 μm dielectric stacks using thin resists, imaging that would otherwise be impossible with conventional processing. Potential extendibility of this approach to feature sizes below 100nm has been also evaluated. High resolution images as small as 50nm, have been transferred into a 300nm thick SiO2 layer by using Si ARC/hardmask material as an etch mask. Lithographic performance and etch characteristics of a thin resist process over both single layer and index-graded ARC/hardmask materials will be shown.
New approach for pattern collapse problem by increasing contact area at sub-100-nm patterning
Sung-Koo Lee, Jae Chang Jung, Min Suk Lee, et al.
To accomplish minimizing feature size to sub 100nm, new light sources for photolithography are emerging, such as ArF(193nm), F2(157nm), and EUV(13nm). However as the pattern size decreases to sub 100nm, a new obstacle, that is pattern collapse problem, becomes most serious bottleneck to the road for the sub 100 nm lithography. The main reason for this pattern collapse problem is capillary force that is increased as the pattern size decreases. As a result there were some trials to decrease this capillary force by changing developer or rinse materials that had low surface tension. On the other hands, there were other efforts to increase adhesion between resists and sub materials (organic BARC). In this study, we will propose a novel approach to solve pattern collapse problems by increasing contact area between sub material (organic BARC) and resist pattern. The basic concept of this approach is that if nano-scale topology is made at the sub material, the contact area between sub materials and resist will be increased. The process scheme was like this. First after coating and baking of organic BARC material, the nano-scale topology (3~10nm) was made by etching at this organic BARC material. On this nano-scale topology, resist was coated and exposed. Finally after develop, the contact area between organic BARC and resist could be increased. Though nano-scale topology was made by etching technology, this 20nm topology variation induced large substrate reflectivity of 4.2% and as a result the pattern fidelity was not so good at 100nm 1:1 island pattern. So we needed a new method to improve pattern fidelity problem. This pattern fidelity problem could be solved by introducing a sacrificial BARC layer. The process scheme was like this. First organic BARC was coated of which k value was about 0.64 and then sacrificial BARC layers was coated of which k value was about 0.18 on the organic BARC. The nano-scale topology (1~4nm) was made by etching of this sacrificial BARC layer and then as the same method mentioned above, the contact area between sacrificial layer and resist could be increased. With this introduction of sacrificial layer, the substrate reflectivity of sacrificial BARC layer was decreased enormously to 0.2% though there is 20nm topology variation of sacrificial BARC layer. With this sacrificial BARC layer, we could get 100nm 1:1 L/S pattern. With conventional process, the minimum CD where no collapse occurred, was 96.5nm. By applying this sacrificial BARC layer, the minimum CD where no collapse occurred, was 65.7nm. In conclusion, with nano-scale topology and sacrificial BARC layer, we could get very small pattern that was strong to pattern collapse issue.
Materials for 193-nm Resists
icon_mobile_dropdown
Development of high-performance negative-tone resists for 193-nm lithography
Takashi Hattori, Yoshiyuki Yokoyama, Kaori Kimura, et al.
We have been developing negative-tone resist systems utilizing an acid-catalyzed intramolecular esterification of γ- and δ-hydroxy acid for ArF phase-shifting lithography. In this paper, α-acryloyloxy-β, β-dimethyl-γ-butyrolactone (DBLA), adamantane lactone acrylate (AdLA), and norbornene lactone acrylate (NLA) were examined as a precursor of hydroxy acid. It was found that AdLA and NLA are not hydrolyzed intro hydroxy acid under an alkali hydrolysis condition. DBLA was found to produce γ-hydroxy acid, which is stable in the resist solution. The γ-hydroxy acid derived from DBLA becomes γ-lactone relatively easily by an acid-catalyzed reaction and can be used to make resists insoluble. Since the variation and the flexibility of the copolymer composition of the base polymer can be increased, the resist properties are controllable and the pattern quality can be improved by utilizing γ-hydroxy acid derived from DBLA.
High-performance 193-nm photoresist materials based on a new class of polymers containing spaced ester functionalities
Mahmoud Khojasteh, K. Rex Chen, Ranee W. Kwong, et al.
ArF lithography has been selected as the imaging method for the 90 nm technology node. Manufacturing related issues will have to be addressed when designing advanced 193 nm resists that are production worthy. Post exposure bake (PEB) sensitivity, dissolution properties and process window are some issues that need continuous improvement. Initially our investigation focused on a cyclic olefin (CO) platform which led us to a better understanding of the relationship between polymer structure and physical properties and how to improve cyclic olefin resist performance. Since then we have developed a new class of acrylate polymers with pendant “spaced ester” functionality. We have investigated the potential use of “spaced ester” functionality on improving the lithographic performance of CO and acrylate resist platforms. We have found that with “spaced ester” as pending group in CO polymer structures, it can lower the Tg and improve the dissolution properties of the CO resists. Resists formulated with acrylate containing “spaced ester” group exhibit excellent PEB temperature sensitivity (1 nm/°C), and are soluble in PGMEA. In addition, we have demonstrated sub-100 nm resolution with excellent process window through formulation optimization for acrylate based resists. This paper will focus on the “spaced ester” based polymer design, material properties; resist characteristics, and the lithographic performance for logic dense line applications.
Impact of thin resist processes on post-etch LER
Arpan P. Mahorowala, Dario L. Goldfarb, Karen Temple, et al.
While evaluating 193 nm, and early versions of 157 nm and EUV resists, the lithography community has focused on post-develop LER values derived from image analysis of top-down SEM micrographs. These numbers, however, do not capture the tendency of a resist to facet and roughen during plasma etching processes. They also do not convey any information about the role of the anti-reflective coatings/hard masks in the transfer of resist roughness into the underlying substrate. From a manufacturing perspective, it is the "LER" of the final etched substrate that is more important. This paper systematically studies the impact of resist polymer platform and thickness, etching conditions, and presence of organic and inorganic anti-reflective coatings/hard masks on substrate roughening. An AFM technique, previously developed by Reynolds and Taylor, is used to measure the feature sidewall roughness as a function of etch depth. This technique enables us to calculate the sidewall roughness of the resist, ARC/hard mak and substrate surfaces simultaneously, and determine correlations that may exist between these values. The paper identifies and demonstrates patterning methodologies that can be used to achieve "smooth" substrate surfaces even when the resist is "thin".
Imaging and photochemistry studies of fluoropolymers for 193-nm lithography
Will Conley, Paul Zimmerman, Daniel Miller, et al.
The authors have studied the impact of absorbance on the overall process window. The chemical contrast has been monitored by FTIR to understand the overall effect of absorbance through careful modulation of absorbing additives 193nm. The effects of absorbance and contrast has been simulated and through experimentation confirmed. The authors will provide comprehensive details of the synthesis of polymers, additives and impact on 157nm lithography.
Processing for 193-nm Resists
icon_mobile_dropdown
Enhanced processing: sub-50-nm features with 0.8-micron DOF using a binary reticle
With the ever-increasing demand for reducing the size of devices on a chip, one frequently meets the lithographic challenge of printing very small lines on a wafer. The rapidly shrinking process latitudes, especially the depth-of-focus (DOF), really become a burden when trying to print sub-80nm resist lines on a wafer with 193nm lithography. In this paper, we report on a method that is capable of enlarging the process windows for printing small lines, while also reducing a line collapse issue, by using an enhanced resist processing procedure. In this procedure, the PEB time duration, in combination with the exposure dose, is used as a tuning process parameter. It is shown that, by using this procedure, a significant increase in DOF is obtained for printing small (down to 40nm) isolated and semi-dense lines, while the pitch is not scaled down. While using a binary mask and annular 193nm illumination with a NA of 0.63 and s of 0.87/0.57, we show that it is possible to print 50nm lines on a 240nm pitch with 0.8 micron DOF, whereas the standard process, using the vendor recommended PEB, can only print 80nm lines with a comparable DOF. The large process enhancement, among which the reduced curvature in the Bossung plots, is explained by the more efficient use of the acid and quencher present in the photoresist, as well as by the peculiarities of acid diffusion near regions where quencher is remaining. The results obtained are explained by a compact resist model in which acid-quencher reaction-diffusion is incorporated.
Line-edge roughness reduction for advanced metal gate etch with 193-nm lithography in a silicon decoupled plasma source etcher (DPSII)
Tito Chowdhury, Hanna Bamnolker, Roni Khen, et al.
193nm lithography has become increasingly important as the critical dimensions of semiconductor devices continue to scale down towards sub 0.10um dimension. From dry etching perspective, however, 193nm resist brings new challenges due to its poorer plasma etch resistance, line edge roughness and lower thickness compared to 248nm DUV resist. Consequently, issues such as line edge roughness and poor profile control were observed after dry etch processing. This paper presents a successful development of advanced 0.1 μm metal gate application using 193nm lithography on Applied Materials’ decoupled plasma etcher DPSII system. The integrated process involves a hard mask open with ex-situ resist strip followed by metal/poly dual gate etching. Process chemistry and process parameters for nitride mask step were thoroughly explored and investigated. With CF4/CHF3 based chemistry, the process achieved a greater then 2:1 selectivity with straight nitride profile and smooth sidewall. Less than 7nm 3-sigma of CD bias uniformity was obtained across the wafer with edge exclusion up to 4mm on a 200mm substrate. Process parameters such as pressure, gas ratio and the total Fluorine-contained flow were proven to be the most influential on resist selectivity, profile and CD control. A careful balance needs to be maintained in order to deliver an overall process. The following W/WN/poly gate etch features a three-step approach that has produced straight profiles, excellent CD control and excellent gate oxide integrity. Post-etch measurement of line edge roughness shows an average of 5nm LER. It was observed LER is a strong function of etch chemistry, reaction regime, etc. A detailed study showing methods to reduce LER is presented in this paper.
Comparison of ArF bilayer resists for sub-90-nm L/S fabrication
Jin Hong, Hyun-Woo Kim, Sung-Ho Lee, et al.
The advent of 193nm ArF lithography opened new era of sub-90nm patterning in DRAM industry. ArF lithography in single layer scheme, however, has limitation in the substrate fabrication of sub-90nm L/S due to the decreased physical thickness of resist less that 3000Å and soft chemical structure of resist. Bilayer scheme, composed of Si-containing top PR and thick organic bottom layer, is gaining attention for its capability of patterning and control of resist thickness as a substitute for single layer. Several resists were evaluated for bilayer process in terms of photo patterning, dry development, bottom PR durability and SEM shrinkage. Resolution down to 80nm was achieved with Si content in the range of 8-9%. Etch selectivity in the dry development was a strong function of Si content and chemical structure of tope PR with pitch size dependence based on O2/N2 gas chemistry in dual frequency plasma tool. Profile control after dry development was subject to change depending on the gas ration (O2/N2) and power. Resist structure was proved to be a key factor in bottom PR durability at the substrate etch condition. Best combination of top and bottom resists in bilayer scheme will be discussed.
Evaluation of process-based resolution enhancement techniques to extend 193-nm lithography
This article addresses the evaluation of non-conventional approaches to pattern smaller contacts than those obtained through standard 193nm lithography. The 2002 update of the ITRS Roadmap specifies 100nm and 110nm contacts in resist for DRAMs and ASICs respectively at the 90nm node. The depth of focus (DOF) for small contacts with the current 193nm exposure tools is not adequate and according to Raleigh’s equation, the higher numerical aperture (NA) 193nm exposure tools can be expected to have further decreased DOF. Therefore it is important to investigate the capabilities of process based resolution enhancement techniques to print smaller contacts using the current 193nm exposure tools. This article presents an evaluation of proves based resolution enhancement techniques such as REFLOW, RELACS and SAFIER.
Advanced Resist Processing
icon_mobile_dropdown
Intel benchmarking and process integration of 157-nm resists
Intel’s recent 157nm fluoropolymer photoresist development is described, including the benchmarking of photoresist patterning and the suitability of resists in typical Intel etch processes. The imaging results show that the new ultra-low absorbance resists (absorbance <1/μm) show great promise for meeting the 65nm-node ITRS targets. The materials also show good etch resistance when exposed to SiO2, Si3N4 and SixOyNz dry etch chemistries.
Contact printing to the 45-nm node using a binary mask and 248-nm lithography
Karen E. Suhm, Daniel C. Baker, Brian Hesse, et al.
Contact size will continue to shrink aggressively for future technology nodes. The high costs and uncertainties associated with 193 nm lithography have made extension of DUV the mainstream approach for the semiconductor industry. Phase shift masks have successfully achieved deep sub-wavelength printing, pushing k1 well below 0.5, but with accompanying high mask-making costs. We have examined the practical limits of 248 nm lithography for contact printing utilizing cost-effective methods. Using a single binary mask, the IDEAL-Smile resolution enhancement technique (RET), in combination with aggressive photoresist technology and post-develop processing, we have demonstrated contact printing capability suitable for the 45 nm generation. A variety of DUV photoresist chemistries and processing techniques are available for imaging small contacts. We have examined state of the art ESCAP and hybrid-acetal photoresists as well as a silicon-containing bi-layer material for their direct printing capability and post-develop thermal processing properties. A photoresist with the best combination of optical, thermal, and mechanical properties was selected. This photoresist was then used to produce sub-50nm contacts. For the numerical aperture used in this study (0.73) this equates to a k1 factor near 0.15.
Will Darwin's law help us to improve our resist models?
Bernd Tollkuhn, Tim Fuehner, Daniela Matiut, et al.
Calibration of resist model parameters becomes more and more important in lithography simulation. The general goal of such a calibration procedure is to find parameters and model options which minimize the difference between experimentally measured and simulated data. In this paper a multidimensional downhill simplex method and a genetic algorithm are introduced. We investigate the performance of different modeling options such as the diffusivity of the photogenerated acid and of the quencher base, and different development models. Furthermore, new objective functions are proposed and evaluated: The overlap of process windows between simulated and experimental data and the comparison of linearity curves. The calibration procedures are performed for a 248nm and for a 193nm chemically amplified resist, respectively.
Monitoring of photo-resist poisoning
Resist poisoning is one of the key issues linked to low-k dielectric and copper integration. This phenomenon tends to be amplified in dual damascene architecture, where both processes and materials are incriminated, especially when porous low-k dielectrics are integrated. In this paper we present and implement the dose to clear compensation method, easily undertaken with standard lithography and metrology tools, to evaluate quantitatively 248 and 193nm photo-resist poisoning on both MSQ and porous MSQ substrates. We show the amplification of resist poisoning due to the reservoir effect in porous MSQ, and address the role of the porosity in the phenomenon. We demonstrate the efficiency of the method in evaluating hard masks compatibility, wet and dry stripping processes, and its ability in screening photo-resist in term of poisoning sensitivity.
Resist Fundamentals
icon_mobile_dropdown
Quantum efficiency of PAG decomposition in different polymer matrices at advanced lithographic wavelengths
Theodore H. Fedynyshyn, Roger F. Sinta, William A. Mowers, et al.
The Dill ABC parameters for optical resists are typically determined by measuring the change in the intensity of transmitted light at the wavelength of interest as a function of incident energy. The effectiveness of the experiment rests with the fact that the resist optical properties change with exposure and that the optical properties are directly related to the concentration of PAG compound. These conditions are not typically satisfied in CA resists and thus C is unobtainable by this method. FT-IR spectroscopy can directly measure changes in the photoactive species by isolating and measuring absorbance peaks unique to the photoactive species. We employed the ProABC software, specially modified to allow FT-IR absorbance input, to extract ABS parameters through a best fit of the lithography model to experimental data. The quantum efficiency of PAG decomposition at 157-, 193-, and 248-nm was determined for four diazomethane type PAGs in four different polymer matrices. It was found that both the Dill C parameter and the quantum efficiency for all PAGs increased as wavelength decreased, but that the magnitude of the increase was strongly dependent on the polymer matrix.
Measurement of photoacid generation kinetics in photoresist thin films via capacitance techniques
A novel technique for determining the Dill C rate constant for photoacid generation has been investigated. This technique involves using capacitance measurements of interdigitated electrodes (IDE) coated with chemically amplified resist to monitor the generation of photoacid within the resist polymer matrix. It is shown that a linear relationship exists between measured capacitance of the IDE and photoacid or PAG concentration within the polymer matrix. Based on this linear relationship, a method is developed for calculating the Dill C parameter for chemically amplified resists based on interdigitated electrode capacitance data. This approach is demonstrated by measuring the Dill C parameter for acid generation using 248 nm exposure of triphenylsulfonium triflate photoacid generator in a poly(p-hydroxystyrene) matrix. A Dill C parameter value of 0.0445 was calculated using this capacitance method which is in good agreement with other literature reported values for this PAG.
Using the critical ionization model for resist development to estimate contrast curves and roughening
The dissolution of exposed regions of polymeric resists in aqueous base to form a pattern is a complex reactive process, and is usually described only empirically. It has recently been proposed that a critical level of ionization is required for a polymer chain to move from the film into solution, and we use this model as a framework for a simple reaction scheme that describes the transformation of a polymer chain from an unsolvated form into a solvated one. Simulations of the dissolution process are used to predict the dose dependence of thickness loss, resist contrast and line shapes as a function of local extent of polymer deprotection in p-tert-butyloxycarbonyloxystyrene (PTBOCST) chemically amplified positive tone photoresist, and examine local composition and kinetics factors that lead to roughening. The results show that nonlinearities inherent in the dissolution kinetics are responsible for resist imaging. The simulations can be used to define a simple functional form for thickness loss as a function of dose, providing a physically-based alternative to phenomenological dissolution models for prediction of developed profiles from calculated latent images. The extendability of the approach to copolymers and to systems that undergo significant gellation is discussed.
X-ray absorption spectroscopy to probe interfacial issues in photolithography
Joseph L. Lenhart, Daniel A. Fischer, Sharadha Sambasivan, et al.
We utilize near edge X-ray absorption fine structure spectroscopy (NEXASFS) to provide detailed chemical insight into two interfacial problems facing sub-100 nm patterning. First, chemically amplified photo-resists are sensitive to surface phenomenon, which causes deviations in the pattern profile near the interface. Striking examples include T-topping, closure, footing, and undercutting. NEXAFS was used to examine surface segregation of a photo-acid generator at the resist/air interface and to illustrate that the surface extent of deprotection in a model resist film can be different than the bulk extent of deprotection. Second, line edge roughness becomes increasingly critical with shrinking patterns, and may be intimately related to the line edge deprotection profile. A NEXAFS technique to surface depth profile for compositional gradients is described with the potential to provide chemical information about the resist line edge.
Glass transition temperature studies in thin photoresist films with an interferometric method
Dimitra Niakoula, Ioannis Raptis, Vasilios Bellas, et al.
Effects of process variables, such as film thickness, type of substrate and thermal processing conditions on Tgfilm were explored using Optical Interferometry; a novel, low-cost, rapid methodology. This methodology is applied for in-situ measurement of the glass transition temperature in thin resist films (Tgfilm) spin-coated on flat reflective substrates. The presented methodology enabled studies on Tg changes during resist processing in characteristic positive and negative tone chemically amplified (CA) resist materials allowing deeper insight in resist optimization issues. The film thickness and substrate effects on Tgfilm were studied in the case of one positive chemically amplified resist (commercial for DUV) as well as the exposure effect on Tgfilm in the case of a negative chemically amplified resist formulations. Also a series of POSS-based new copolymers under evaluation for use in 157nm lithography are studied in order to reveal the film quality. In the last case new copolymers with components interacting strongly with the substrate surface were examined and the calculated Tgfilm from the OPTI method differs from the corresponding DSC bulk values.
Polymer dynamics and diffusive properties in ultrathin photoresist films
Christopher L. Soles, Ronald L. Jones, Joseph L. Lenhart, et al.
A series of experiments are presented to demonstrate thin film confinement effects on the diffusive properties in poly(tert-butoxycarboxystyrene) (PBOCSt). Bilayer diffusion couple measurements reveal that as the thickness of a PBOCSt film is decreased, the kinetics of the deprotection reaction-front propagation (a process involving both the diffusion and reaction of photochemically activated acidic protons) are dramatically hindered. Incoherent neutron scattering measurements suggest that this retardation can be traced to a suppression of local fast relaxations (200 MHz or faster) native to the PBOCSt polymer. The reduced mobility in the thin PBOCSt films is further confirmed with moisture vapor uptake studies performed on a quartz crystal microbalance (QCM). As the film thickness drops below 500 Angstrom there is a strong reduction in the diffusivity of water in the film. In total, these are the first evidences suggesting that the deviations in lithographic performance with decreasing film thickness observed with the bilayer experiments can are due to changes in mobility, not reactivity, within a chemically amplified resist.
Resist Line Edge Roughness
icon_mobile_dropdown
Controlling line-edge rougness to within reasonable limits
Jonathan L. Cobb, Shahid Rauf, Aaron Thean, et al.
The 2001 edition of the International Technology Roadmap for Semiconductors establishes line-edge roughness (LER) requirements for patterned resist lines. Little is known, however, about how LER affects device performance or about how much LER is acceptable for a given technology. Our work seeks to answer these questions by combining process modeling, three-dimensional (3D) device modeling, and experiment to investigate the amount of LER that can be varied by process conditions and the levels to which LER must be controlled. Our process models show the expected trade-offs between resist diffusion, LER, and resolution, and they show that much of the high-frequency, high-amplitude roughness can be reduced through appropriate etch and implant diffusion processes. The low-frequency roughness, on the other hand, is much harder to eliminate. Experimentally, we have found that the aerial image quality and the etch process have the largest effect on the edge roughness transferred to polysilicon lines, and the roughness after etch is distributed over a broad range of frequencies. The 3D device models indicate that the amount of roughness that gets transferred to the junctions will dominate the electrical behavior, and the effects will likely be different for PMOS devices than NMOS devices.
Effects of processing parameters on line-width roughtness
Line width roughness (LWR), transferred from a patterned photoresist to a gate during the etch process, may have a significant effect on the device performance beginning with the 65 nm technology node. Two factors that make LWR a greater concern for this node than for previous technology nodes are: 1) LWR does not scale in proportion to the critical dimensions (CDs), and 2) LWR has been shown to increase as film thickness decreases. A significant challenge for this technology node is the development of a resist process with sufficiently low LWR. In this paper, we investigate the effect that changing processing conditions has on LWR. We begin by reviewing the literature to determine which processing parameters have been shown to impact LWR. We then present experimental results that show how variations in processing parameters affect LWR. We conclude with molecular data showing the relation between resist surface roughness and LWR.
Ultrathin photoresists for 193-nm lithography
Richard D. Peters, Gilles R. Amblard, Jen-Jiang Lee, et al.
Fabrication of integrated circuits with sub-100 nm features will require tight control of critical dimensions, line edge roughness, and profiles of patterned features. The drive to smaller features will be accomplished principally by reduction of exposure wavelength in lithography systems. The use of 157 nm and EUV lithography will most likely require thin resists with thicknesses less than 150 nm due to the high absorption of materials at these wavelengths. High NA and low k1 systems for 193 nm lithography may also benefit from the use of thin photoresist processes. The properties and behavior of thin resists are expected to be strongly affected by interfaces, and thus, the lithographic performance of resists with sub-200-nm thickness is of interest. In this paper, we present a study of the lithographic behavior of a single layer 193 nm resist at different thicknesses ranging from 90 nm to 240 nm. The line edge roughness (LER) of 193 nm resist films increased dramatically with decreasing film thickness, but increasing the concentration of photoacid generator (PAG) and base quencher in the films helped reduce the LER. The process latitude for dense 110 nm lines (250 nm pitch) imaged using a single resist formulation with high PAG/quencher concentration was experimentally determined for 4 thicknesses (90 nm to 240 nm) by changing only the spin speed. The process latitude was found to be almost equivalent for sub-200 nm thick films, however, sub-100 nm thick films exhibited much higher LER than the thicker resist films. The performance of the 193 nm resist was compared to a 248 nm resist coated at thicknesses ranging from 104 nm to 260 nm. The 248 nm resist exhibited a decreasing trend in both exposure latitude and depth of focus with decreasing film thickness. Time-of-flight secondary ion mass spectrometry was used to investigate the distribution of PAG in the resist films. Some of the resist behavior of sub-150 nm thick films could be explained due to non-uniform PAG distribution.
Polyelectrolyte effects in model photoresist developer solutions: roles of base concentration and added salts
We demonstrate that poly(4-hydroxystyrene) and (5, 15, and 20) % tert-butoxycarboxy protected copolymers are polyelectrolytes when dissolved in aqueous base solutions. The polyelectrolyte effect is quantified through the observation of a correlation peak, measured with small-angle neutron scattering. Polyelectrolyte effects are weakened with added salts and excess base. These studies emphasize that salt additives screen the electrostatic interactions, while pH leads to the ionization of the chain. Solvent quality is quantified and the chain configurations are measured in the limit of high ionic strength. It is speculated that the developer-resist interactions will play an important role in development-induced roughness, hence these equilibrium solution measurements can serve a predictive function for future photoresists dissolution models incorporating solvent quality as a parameter.
Diffusion-induced line-edge roughness
As feature dimensions shrink, line edge roughness has become an increasing concern in semiconductor fabrication. There are numerous potential contributors to line edge roughness throughout the lithographic process and any measured roughness value on a printed device feature is, like the feature itself, a convolved function of every processing step. When the full lithographic process is used to study line edge roughness, it can be difficult to isolate the contribution to final roughness from any individual processing step or factor. To gain a more fundamental understanding of roughness generation that is specifically related to photoresist chemistry and formulation it is necessary to design experiments that separate out exposure related issues like mask dimension variation or local dose variation (“shot noise”). This can be accomplished using previously reported experimental protocols for bilayer film stack creation. The bilayer experimental approach has been used to study the effect of variations in such factors as post exposure bake time, photoacid generator loading, and developer concentration on roughness generation. Surface roughness of the developed film stacks is measured via atomic force microscopy. Surface roughness of developed bilayer film stacks may be considered analogous to sidewall roughness of printed features. An acrylate-based 193nm photoresist resin and an APEX-type resin are used in these experiments. In addition to experimental results, results from mesoscale lithographic simulations are used to gain further insight into diffusion induced roughness and how roughness in the latent image is modified during the development step.
Enhanced quantitative analysis of resist image contrast upon line-edge roughness (LER)
Correlations between LER and various types of aerial image contrast were examined for three different commercial resists. LER was more tightly correlated with the standard (max-min) definition of contrast than with the others examined, suggesting that background flare is most accountable for aerial image profile-induced LER. The relationship was nearly inverse, with LER proportional to α (contrast)-0.85. In the latter portion of this paper, an image deblurring technique to recover more accurate LER data from SEM images was devised. This technique showed that, at times, LER can vary significantly before and after deblurring. Some initial tests to prove the validity of this LER measurement enhancement technique were performed, all with positive results.
Novel Resist Materials
icon_mobile_dropdown
Bilayer technology for ArF and F2 lithography: the development of resists to minimize silicon outgassing
George G. Barclay, Subbareddy Kanagasabapathy, Gerd Pohlers, et al.
This paper reports on the development of advanced bilayer resists for ArF and F2 lithography. Contamination of the optics with silicon has been identified as a major issue for the adoption of bilayer technology across all wavelengths. An investigation was carried out to fundamentally understand the effect of the polymer architecture on silicon outgassing. A laser outgassing system was developed and calibrated using model silicon compounds. Model polymers where prepared in which the silicon was incorporated in a number of different ways pendant to the polymer backbone and in the polymer backbone. It was observed that the placement of silicon into the polymer backbone as a poly(silsesquioxane), allows the incorporation of high silicon content for superior etch resistance, with no detectable outgassing of silicon during the exposure step. The design concepts used for these ultra thin silicon imaging systems has resulted in superior imaging capability, resolving sub 100nm dense patterns.
Nanocomposite resist for low-voltage electron beam lithography (LVEBL)
Mohammad Azam Ali, Kenneth E. Gonsalves, Ankur Agrawal, et al.
A novel chemically amplified resist (CAR) was synthesized incorporating a photoacid generating (PAG) moiety, etch resistant nanoparticle, and various acrylated monomers. The addition of acrylated monomers was found to promote good film formation and to improve film adhesion. Directly tethering the nanoparticle into the polymer increases the etch performance of the resist and helps avoid any potential issues with phase separation of components in the resist film. The PAG in these materials is also directly incorporated into the resist backbone. It has been shown that these materials display enhanced sensitivity and contrast using LVEBL. This paper will discuss the material characteristics and lithographic performance of these materials using 2 keV, 10 KeV, and 20 KeV electron beam (EB) exposure. For example, these materials have demonstrated an extremely high sensitivity of only 0.6 μC/cm2 at 2 KeV. Contrast and sensitivity data along with preliminary imaging results will be presented for these materials. Initial imaging results at 20 keV are promising. Achieving similar resolution at low keV also appears to be possible with this material. The trade-off between sensitivity and resolution will also be presented for different electron beam accelerating potentials. Etch resistance and selectivity of this material will also be studied and compared to PHOST and novolac based resists. It will be demonstrated that such materials show great promise for advanced resist applications in a variety of next generation lithography (NGL) applications including electron beam lithography.
Polyhedral oligomeric silsesquioxane (POSS) based resist materials for 157-nm lithography
Evangelia Tegou, Vassilios Bellas, Evangelos Gogolides, et al.
Novel polymers containing polyhedral oligomeric silsesquioxane (POSS) pendant groups have been synthesized and evaluated as components of 157 nm resist formulations. Random copolymers of polymerizable, ethyl-POSS containing monomers with various acrylates, including tert-butyl methacrylates, were first used in positive, aqueous base-developable resist formulations and evaluated at thicknesses in the range of 100 nm. Copolymers with optimized monomer composition do not present strong self-organization phenomena and provide materials with good film forming properties, and high sensitivity at 157 nm (1-10 mJ/cm2 under open field exposure). Process studies reveal strong influence of thermal processing conditions and development concentrations on swelling of unexposed and underexposed resist areas. Similar results are obtained from Dissolution Rate Monitoring (DRM) studies. A typical process selected for selling reduction includes pre-exposure and post-exposure bakes at 160°C (2 minutes) and selection of low strength developers. High resolution patterning under these conditions has shown potential for sub 130 nm lithography upon further material optimization. On the other hand, pattern transfer studies have shown that 100 nm thick films of POSS containing materials, having the same silicon content as the ones evaluated for high resolution 157 nm lithography, provide the necessary oxygen plasma resistance for use as bilayer resists. X-ray photoelectron spectroscopy (XPS) was used for surface characterization before plasma etching. Both XPS and angular XPS characterization have revealed that the POSS moieties tend to segregate preferentially on the free surface of the films.
157-nm bilayer resist: patterning and etching performance
Seiro Miyoshi, Takamitsu Furukawa, Etsurou Kawaguchi, et al.
A highly transparent (60% transmittance at 120-nm thickness: abs.=1.85/μm), fluorine-containing, silsesquioxane-type resist for 157-nm lithography has been developed. When the resist was exposed with a 0.85-numerical-aperture (0.85-NA) microstepper and a phase-shifting mask, the high transmittance resulted in a steep profile for a 55-nm 1:1 line and space (L/S) pattern, as well as a feasible depth of focus (DOF) of 0.2 μm for a 100-nm contact hole (C/H) pattern. By using a 157-bi-layer resist process, which employed 120 nm of silsesquioxane-type resist as the top layer and a 200-nm-thick organic film as the underlayer, a sub-100-nm C/H pattern could be successfully fabricated and transferred to a 400-nm-thick SiO2 film by reactive ion etching (RIE). Neither pattern deformation during RIE nor residue after resist ashing was observed. The successful fabrication of a sub-100-nm C/H pattern in 400-nm-thick SiO2 clearly demonstrated the advantage of the 157-nm bi-layer resist process for fabricating sub-65-nm-node semiconductor devices, especially C/H fabrication or damascene process.
Wavelength invariant Bi/In thermal resist as a Si anisotropic etch masking layer and direct-write photomask material
Glenn H. Chapman, Yuqiang Tu, Jun Peng
Bilayer Bi/In thin film thermal resists are Bi and In films which form an etch resistant material at ~7 mJ/cm2 laser exposures with near wavelength invariance from visible to EUV. New simulations predicted that Bi/In film of 15/15nm absorbs substantially at 1 nm, which projects single pulse exposure sensitivity of ~16 mJ/cm2, hence suggesting good sensitivity to X-ray range. Thermal modeling has confirmed the exposure time/optical energy requirements for Bi/In. Exposed and developed Bi/In resist etches slower than silicon dioxide in alkaline-based silicon etchants TMAH, KOH, and EDP, making it a better masking layer for anisotropic Si etching. Also Bi/In has been used to create a direct-write photomask as its optical transmission changes from OD>2.9 before laser exposure to OD<0.26 after exposure. Both Bi/In anisotropic etching and direct write masks have been combined to successfully build test photocells with V-groove surface textures by using Bi/In masked silicon anisotropic etching and the other layers created using regular lithography but with Bi/In masks. These devices showed no operational differences from those created with regular resist processes. Investigation of resist interactions with Silicon after laser exposure and strip were done with Auger surface analysis which showed no detectable Bi or In contamination on substrates and no substrate sheet resistance change. X-ray diffraction and Rutherford back scattering tests suggest that the converted Bi/In may involve oxides.
Emerging Resist Technology: Joint Session
icon_mobile_dropdown
Intel's EUV resist development
Heidi B. Cao, Jeanette M. Roberts, Janel Dalin, et al.
The success of extreme ultraviolet (EUV) lithography depends upon developing resists that meet the patterning requirements for the technology node in which EUV is inserted. This paper presents Intel’s patterning requirements and development strategies for EUV resists. Two of the primary problems for EUV resists are meeting the linewidth roughness (LWR) requirement, and reducing resist absorbance to obtain good sidewall profiles. Benchmarking data shows that none of the current EUV photoresists meet LWR targets. Modeling results for EUV resists show the impact of resist absorbance on sidewall angle and resolution.
Surface and line-edge roughness in acid-breakable resin-based positive resist
Toshio Sakamizu, Hiroshi Shiraishi
A positive chemical amplification resist based on acid-catalyzed fragmentation of acetal groups in its main-chain has been developed as a means for reducing line-edge roughness. The resist consists of an acid-generator, an acid-diffusion controller and an acid-breakable (AB) resin that is synthesized through a co-condensation reaction between polyphenol and aromatic multi-functional vinylether compound. The effects of the fractionation of AB resins on resin properties and line-edge roughness (LER) are evaluated. Although AB resins have wide molecular-weight distributions, the density of acetal groups in this AB resin is found to be almost constant except in the lower molecular-weight components. The resist with a fractionated resin from which such components removed provides the high resolution of 60-nm line-and-space (L/S) patterns with fairly low LER. AFM analysis shows the surface roughness (SR) for the resist with the fractionated resin is smaller than that for a resist using non-fractionated AB resin, and that the SR value is not altered throughout the range of exposure doses up to just below the beginning of dissolution. By using the fractionated AB resin, the AB resin-based resist (ABR) is capable of forming sub-100 nm L/S patterns with less than 5 nm of LER (3σ).
Hybrid bilayer imaging approach using single-component metal-organic precursors for high-resolution electron beam lithography
Augustin Jeyakumar, Clifford L. Henderson, Paul J. Roman Jr., et al.
A hybrid bilayer imaging approach has been developed which uses a thin radiation sensitive, single component, metal-organic precursor film in conjunction with a thicker organic planarizing etch barrier. Upon electron beam irradiation, the metal-organic precursors are converted to a metal-oxide etch mask and the pattern can be transferred through the organic etch barrier layer using an oxygen reactive ion etch. These novel precursors can also be converted to the metal-oxide using deep ultraviolet optical irradiation or thermal baking. Therefore, a combination of blanket conversion steps followed by the patterning process can be utilized in order to reduce imaging doses. In this work, results of characterizing a titanium(n-butoxide)2(2-ethylhexanoate)2 precursor are presented due to its combined properties of hydrolytic stability and moderate sensitivity. It was found that using a blanket thermal bake step of 1, 2, and 3 minutes at 150°C prior to electron beam exposure increased the sensitivity of the materials to 200, 90, and 72 µC/cm2 respectively. However, the contrast of the material decreased from 4.40 to 2.17 as a consequence of pre-exposure thermal baking. The etching characteristics of the metal-organic precursor were also studied in ashing and silicon dioxide etching plasmas. It was found that the etch rate in the different plasmas depends strongly on the extent of conversion of the metal-organic film. Films with higher extents of conversion to the metal-oxide provide higher etch resistance in general. The patterning capability with these metal-organic precursors is demonstrated on top of both silicon substrates and hard baked novolac films.
Nanopatterning of spin-coatable TiO2 resist using an electron beam
Mohammad S.M. Saifullah, Mark E. Welland
Titanium dioxide has shown its potential application in solar cells, optical waveguides, gas sensors and photochromic devices. One of the hindrances for miniaturization of these devices is the lack of an easy and reliable way of patterning TiO2. In this paper, we describe a simple process of electron beam patterning of TiO2 using a spin-coatable TiO2 resist prepared by reacting titanium n-butoxide with benzoylacetone in isopropyl alcohol. The sensitivity of ~115 nm thick spin-coatable TiO2 resist is ~30 mC cm-2, which is ~107 times higher than sputtered TiOx and crystalline TiO2 films. Exposure to an electron beam results in the gradual removal of organic material from the resist. This makes the exposed resist insoluble in organic solvents such as acetone; thereby providing high resolution negative line patterns as small as 19 nm wide. Heating of the patterned films results in pure TiO2.
Section
icon_mobile_dropdown
Study of resist outgassing by F2 laser irradiation
Yasuo Itakura, Youichi Kawasa, Akira Sumitani, et al.
F2 laser lithography at 157nm is the most promising candidate of post-ArF excimer laser lithography. A major concern, however, is the deterioration of 157nm optics due to contamination under F2 laser irradiation. An evaluation of outgassed products of 157nm resist and their effect on optical materials and is therefore indispensable for F2 laser lithography. Semiconductor Leading Edge Technologies Inc. (Selete) and Komatsu Ltd. designed and constructed a resist outgassing evaluation system in order to develop exposure tools and resists for 157nm lithography. The system determines the negative effects of outgassing resist contaminants on the transmittance of optical materials under F2 laser irradiation. The system has two units. One unit collects resist outgas and analyzes sampled gas in a gas chromatograph mass spectrometer (GC-MS). The other unit is a resist outgassing adhesion unit, which measures the transmittance change of optical materials due to contamination adhesion in real-time. Our analysis showed that most outgassed products were from the resist protecting groups and photo acid generators (PAG) including small hydrocarbons like isobutene, benzene derivatives and dimethoxymethane. After irradiating a 157nm lithography resist with a total dose of 30J/cm2 the transmittance of a calcium fluoride (CaF2) substrate decreased from initially 90% to 85%. This was due to adhesion contamination as x-ray photoelectron spectroscopy (XPS) analysis showed an organic contamination deposition of over 5nm thickness on the CaF2 substrate.
Fluorinated materials for 157-nm lithography
Andrew Poss, David Nalewajek, Hari Nair
Theoretical absorption spectra at 157 nm for a variety of fluorinated norbornene monomers were calculated by time dependent-density functional theory (TD.DFT). Based on the theoretical spectra, we have prepared a number of fluorinated norbornene monomers via different synthetic routes. Norbornene based fluorinated monomers were readily prepared from commercially available starting materials in good yields.
Application of reversed pattern transfer process for sub-90-nm technology
Koutaro Sho, Tsuyoshi Shibata, Hirokazu Kato, et al.
A reversed pattern transfer technique combined with ultra thin resist process is discussed. In the reversed pattern transfer technique, first a resist pattern is formed over an organic under layer, next a Water-Soluble Silicone (WSS) is coated on the pattern and recessed by RIE under oxide etching conditions until the top of the resist pattern appears (i.e. the silicone is filled between the resist patterns), and finally, the resist pattern and the under layer is etched by RIE under resist etching conditions, whereby the resist pattern is transferred to the under layer. For the middle imaging layer, cyclic olefin-maleic anhidride (COMA) - acrylate hybrid type ArF photo resist (1250 A thickness) and EB resist (700 A thickness) are used. 70 nm L/S patterns (for EB) and 110 nm L/S patterns (for ArF) were successfully transferred to the under layer using reversed pattern transfer technique.
Fluoropolymer resists for 157-nm lithography
Vaishali Raghu Vohra, Xiang-Qian Liu, Katsuji Douki, et al.
Fluoropolymers have been shown to be one of the best materials for high transparency of 157 nm wavelength radiation. Both resists and pellicles are being designed from such materials. One of our approaches to improved transparency for 157 nm resists is based upon fluorinated variations of polymethacrylate and polyhydroxystyrene derivatives. Lithographic studies were carried out on experimental resist platforms using 157 nm and 248 nm steppers, and it was shown that, after selective modification, it is possible to use conventional resist backbones, such as acrylic or styrenic, in the design of single-layer resists for 157 nm lithography. It has been demonstrated in our studies that 157 nm absorbance of these materials can be as low as 1.5-2.0μm-1. Another approach to 157 nm resist design is based upon fluorinated backbone variations. Research will be described focusing on several new monomers having fluorine functions such as -F and -CF3 groups near a polymerizable double bond to improve transparency at 157 nm and to raise the resist glass transition temperature compared to their hydrocarbon analogues. Due to the lower electron density of the double bond, these monomers can be copolymerized with electron-rich vinyl monomers. As an extension to this strategy, we are synthesizing novel fluoropolymers having partially fluorinated monocyclic structures with radical cyclo-polymerization. These polymers have the C-F bond on the polymer main chain and also possess acid labile groups as part of a ring structure to eliminate degassing. In order to further enhance the transparency of these systolic polymers at 157 nm, we have eliminated the carbonyl group. The cyclic nature of the polymer will result in a high glass transition temperature.
Polymers with well-controlled molecular weight for DUV/VUV lithography
Ting-Yu Lee, Chao-Ying Yu, Meei-Yu Hsu, et al.
Polymer resin is a major component of a photoresist formulation. Therefore, the performance of a photoresist strongly depends on the composition and properties of the resin in the formulation. We have developed a polymerization method, which is able to control the molecular weights along with the compositions of copolymers over a wide range of monomer for the end use in 193 nm or 157 nm photoresist applications. The method is called controlled radical polymerization (CRP). In use of this method, we successfully prepared an acrylate-type copolymer with low molecular weight distribution (PDI~1.2). Furthermore, the desired molecular weight of the polymers can be obtained easily altered by mild reaction condition change. Large-scale production has been demonstrated feasible. In the extension of the application of CRP, we also carried out the homopolymerization reaction of fluorinated norbornene-type monomers, and copolymerization of norbornene-type monomers with acrylates to be used in 157 nm photoresists. The results in this study show that this method can improve the molecular weight control on polymer synthesis. Measurement of the absorbance of some monomers and polymers on synchrotron radiation spectrometers will be presented here. Absorbances of our monomers are between 1456 and 5175 (L/mol cm). However, absorbances of our polymers show different data between SR and VASE measurement methods.
Evaluation of fluorinated dissolution inhibitors for 157-nm lithography
Fluorinated diesters were synthesized and evaluated as dissolution inhibitors (DIs) for 157 nm lithography. The results of dissolution rate measurements, exposure studies, and etching experiments on blends of fluorinated polymers containing these dissolution inhibitors are reported. It was shown that the DIs effectively slow the dissolution rate of the matrix polymer, poly(hexafluorohydroxyisopropyl styrene) (PHFHIPS). Etching studies show that they enhance the plasma etch resistance of poly(methyl methacrylate) using tetrafluoromethane plasma. Addition of the best performing dissolution inhibitor, cyclohexane-1,4-dicarboxylic acid bis-(1-cyclohexyl-2,2,2-trifluoro-1-methyl-ethyl) ester) (FCDE1) to candidate 157 nm photoresist polymers, Duvcor and poly(hexafluorohydroxyisopropyl styrene)-co-poly(t-butyl methacrylate) [pPHFHIPS-co-pt-BMA], improves the imaging behavior of these polymers. Our attempts to elucidate the mechanism of dissolution inhibition for this series of compounds will be discussed. Fourier Transform Infrared (FTIR) studies in conjunction with dissolution rate measurements performed on a series of DI analogues suggest a mechanism based on hydrogen bonding.
Design and study of resist materials for 157-nm lithography
Shintaro Yamada, Sungseo Cho, Anthony Zampini
We investigated the structure-property relationships of several polymer platforms containing hexafluoroisopropanol (HFIP) and tertiary alkyl ester functionalities in order to identify and develop fluorine-containing polymers suitable for 157nm lithography. We observed that the aqueous base solubility of homopolymers containing HFIP was highly dependent on the monomer structure, number of HFIP group per monomer unit, substituent on the alcohol and the polymer architecture. Copolymers of tert-butyl acrylate (TBA), tert-butyl 2-fluoroacrylate (TBFA) and tert-butyl 2-trifluoromethylacrylate (TBTFMA) with styrene hexafluoroisopropanol (STYHFIP) or norborene hexafluoro-isopropanol (NBHFIP) were also investigated to determine the effect of substitution at the acrylate α-position. Under the same ration of STYHFIP, the transparency of the co-polymers improved in the or der of CF3>F>H while the dry etch stability decreased in the order of CF3>F>H. When exposed to 157 nm radiation, photoresists of P(STYHFIP-TBA), P(STYHFIP-TBFA) and P(STYHFIP-TBTFMA) showed an increase in E0 ni the order of H<F<CF3, but the difference was marginal. The PEB sensitivity was nearly identical for all three co-polymers suggesting that the nature of the substituent at the α-position of the acrylate monomer did not have a significant impact on the deprotection chemistry. The photospeed of P(NBHFIP-TBTFMA) was much slower than that of P(STYHFIP-TBTFMA) due to a slower dissolution rate of NBHFIP than that of STYHFIP and to the influence of the polymer matrix on the deprotection reaction.
Performances of resists for 157-nm lithography based on monocyclic fluoropolymers
Fluoropolymers are key materials for the single-layer resists used in 157-nm lithography. We have been studying fluoropolymers to determine their potential for use as the base resin and have developed a monocyclic fluorinated polymer with a blocking group of Cyclohexylcyclohexyloxymethyl (CCOM) that has high transmittance (an absorption coefficient of 0.64 μm-1) at a 157-nm exposure wavelength and high dry-etching resistance (a dry-etching rate of 1.75 times that of KrF resist) under organic bottom anti-reflective coating/hard mark dry-etching conditions. A resist based on our monocyclic fluoropolymer had high sensitivity. Using it, we were able to resolve a 60-nm line-and-space pattern using a 157-nm laser microstepper (numerical aperture = 0.85) with a resolution enhanced technology of an alternating phase-shifting mask. This polymer was demonstrated to simultaneously enable high transparency, high dry-etching resistance, and good imaging performance.
Synthesis of novel fluorinated norbornene derivatives for 157-nm application
We have synthesized various main-chain fluorinated polymers and studied their transparency and solubility. The main-chain fluorinated polymers were synthesized by co- or ter-polmerization of tetrafluoeoethylene (TFE) with cyclic monomers, especially TFE with newly synthesized norbornene derivatives. Transparency of the main-chain fluorinated polymers tended to be higher with higher fluorine contents. But exact absorbance of the main-chain fluorinated polymers by modifying the STUPID calculation. Solubility of the main-chain fluorinated polymers functionalized by hydroxyfluoroalkyl groups was also studied. We have developed a model to predict pKa of hydroxyfluoroalkyl groups incorporated in the norbornene derivatives, and studied correlation between pKa(OH) and solubility of the co-polymers of the hydroxyfluoroalkyl-functionalized norbornene derivatives with TFE. pKa Of the hydroxyfluoroalkyl groups were lower with higher fluorine contents, and solubility of the co-polymers tended to be higher with lower pKa of the hydroxyalkyl groups.
Dissolution behavior of bis-trifluoromethyl-carbinol-substituted polynorbornenes
Trevor Hoskins, Won Jae Chung, Peter J. Ludovice, et al.
As features shrink below 100 nm, new exposure technologies such as 157 nm lithography are being developed. One of the critical challenges in developing these new lithographic tools and processes is the development of appropriate resist materials that can be used at these lower exposure wavelengths. Creating organic resist polymer resins for 157 nm exposure is a particularly challenging issue since many organic functional groups absorb at this wavelength. It has been previously shown that fluorinated polymers may offer the required low optical absorbance needed to serve as resist resins for 157 nm lithography. In particular, there has been interest in bis-trifluoromethyl carbinol substituted polynorbornenes (HFAPNB) and similar materials for use in photoresists. The bis-trifluoromethyl carbinol group offers a base soluble group that is sufficiently transparent to be used at 157 nm. This work has focused on the dissolution behavior and other characteristics of bis-trifluoromethyl carbinol substituted polynorbornenes. In particular, it was found that the dissolution behavior of the HFAPNB homopolymer is strongly controlled by its ability to hydrogen bond with both neighboring chains and also other small molecule additives such as dissolution inhibitors and photoacid generators. A detailed molecular level explanation for these effects is presented. The interaction of a series of commercial photoacid generators with HFAPNB polymers are presented. The use of such information for the rational design of advanced resist materials using these polymers will be discussed.
A HFIPS-based polymer approach for 157-nm single-layer photoresist
Shinichi Kanna, Kazuyoshi Mizutani, Shoichiro Yasunami, et al.
Resist materials for 157nm lithography is believed to be one of the key technology for producing patterns below 70nm. Many different types of fluorine-containing polymer platforms have been energetically pursued by a number of researchers, and some of them appear to be promising in giving a high transparency that has been the essential challenge in realizing this technology. While such highly transparent polymers are the premise in achieving a good imaging, how to get sufficient etch resistance of the polymers can be of another challenge. Actually it is often reported that the etch resistance and the transparency are in trade-off relationship in many cases as a function of fluorine atom content in the polymers. Therefore how to design an etch-resistant polymer while maintaining the good transparency is still a big challenge in developing a practically usable 157nm polymer platform. One of the polymer platforms that the authors believe useful for 157nm is the polymers having hexafluoroisopropanolstyrene (HFIPS) monomer unite in their backbones. The HFIPS unit is attractive because the styrene group provides good etch resistance and hexafluoroisopropanol group (HFIP) provides an acidic molecule while implementing a transparency into the molecule. The lithographic potential of the HFIPS-based polymer system was demonstrated with the fact that a prototype resist from this system was able to print a 75nm line and space 1:1 pairs with an attenuated PSM under 0.60NA stepper exposure. A relatively thin resist thickness, 100nm, was applied due to the limited transparency of the polymer. The patterned exhibited very smooth line edge and a clear pattern definition although a slight T-topping was observed. The results imply that we should be able to achieve a similar lithographic performance with a thicker film (150~200nm), if we can further increase the transparency of the HFIPS-based polymer. The authors are pursuing the approach further aiming at this direction and are getting several new polymers that are more transparent. The paper will present some of the results from later work with such an attempt. The paper will also discuss etch resistance of the HFIPS-based polymer. The etch rates measured for the HFIPS-based polymers were only around 10% faster than standard 248nm resist, which we believe fairly good among various fluorine-containing polymers so far proposed. This was convincing that this polymer system could provide a competitive platform in the practical use. It is generally thought that the etch rate of resist films are mainly affected by their polymer compositions or structures but there are few reported on the influence of the other components in resist formulation. The authors found that the concentration of PAG and quencher influenced both etch rate and resist surface roughness after the etch in this materials system, which implied there are some more room for further etch resistance improvement.
Negative photoresist for 157-nm microlithography: a progress report
Will Conley, Brian C. Trinque, Daniel Miller, et al.
The design of 157 nm photoresists is a daunting task since air, water, and most organic compounds are opaque at this wavelength. Spectroscopic studies1 led to the observation that fluorinated hydrocarbons offer the best hope for the transparency that is necessary for the design of an effective 157nm photoresist, and these classes of materials have quickly become the prominent platforms for a variety of research activities in this field. Our approach to the design of the resist polymer requires identification of a backbone that tethers the functional substituents and provides basic mechanical properties, an etch barrier that provides RIE resistance, an acidic group that permits solubility in tetramethylammonium hydroxide (TMAH) developer. Fluorocarbon polymers have been identified as promising resist candidates for 157nm material design because of their relatively high transparency at this wavelength. Numerous authors have discussed negative photoresists over the years. There are many uses for such materials at various levels in a semiconductor device. One such use is with complementary phase shift mask thus eliminating the need for a second exposure step. This paper reports our recent progress toward developing a negative 157nm resist materials based on fluoropolymers with crosslinkers that are transparent at 157nm. The authors will report on the synthesis of the polymers used in this work along with the crosslinkers and other additives used in the formulation of the photoresist. Imaging experiments at practical film thicknesses at 157nm with binary and strong phase shifting masks will be shown demonstrating imaging capabilities. Spectroscopic data demonstrating chemical mechanisms and material absorbance will be shown along with other process related information
Novel materials for 157-nm bilayer resist designs
Stephanie J. Dilocker, Sanjay Malik, Binod B. De
Absorbance data on a variety of silicon-containing monomers are reported at 157nm. Choice of appropriate silicon monomers led to a second-generation bilayer resist, which showed improved transparency over the first-generation silicon-derived hydroxystyrene based resist. Increasing the overall silicon content improved its transparency and O2 etch properties. The second-generation bilayer resist demonstrated 80nm resolution for dense line/space pairs. No silicon outgassing or post-exposure film loss was observed upon 157nm exposure.
Feasibility study of defects in 157-nm resist process
Shinya Wakamizu, Yukio Kiba, Etsurou Kawaguchi, et al.
In 157-nm resists, fluorine atom is added into the polymers in order to improve the transmittance at the exposure wavelength. It is considered that the fluorine atom increases the hydrophobicity of the resists and that the number of defects during development process increases. We evaluated the development defects focusing on the missing contact holes on 157-nm resists. We used different types of 157-nm resists based on such as monocyclic fluoropolymer type, tetrafluoroethylene-norbornene type, and siloxane type. A KrF and ArF resist were also studied for comparison. We measured the number of the missing contact holes for 2500nm 1:2 hole pattern exposed using a KrF stepper. The number of the missing contact holes is higher for the 157-nm resists than that for the KrF or ArF resist. The contact angle of de-ionized water on the resist film of the 157-nm resists was found to be higher than that of the KrF and ArF resists. We examined the number of missing contact holes for various types of resist polymer and the blocking level, and found that the large contact angle, which corresponds to the high hydrophobicity of the resist film, induces the large number of the missing contact holes. The origin of the missing contact holes is the micro bubbles in the developer solution induced by the dissolved nitrogen. For the 157-nm resists, the wettability between resist surface and developer solution is insufficient due to the high hydrophobicity of the resists. Therefore, the micro bubbles are not easily released from the resist surface, and the number of the micro bubbles increases. In order to reduce the number of the missing contact holes, we tried the surfactant-containing developer solution and also tried the nitrogen-degassed developer solution. In both developers, we found that the number of the missing contact holes is reduced by less than half.
Baking study of fluorinated 157-nm resist
A statistical design of experiments for the post-applied bake and post-exposure bake temperatures for two types of resists, the commercial formulation AZ FX 1000P and an experimental resist AZ EXP 20 X, was carried out using contrast, clearing dose and dark erosion as response variables examined. It was found that for AZ FX 1000P dark erosion could be suppressed entirely and contrast improved by employing a lower PEB without significant impact on the contrast. In this manner, a substantial improvement in the image quality for AZ FX 1000P was obtained. AZ EXP 20X was not susceptible to dark erosion at higher post-applied bakes as was AZ FX 1000P. Both resists gave better imaging at lower post-exposure bake temperatures in the range of ~110°C, presumably because of excessive acid diffusion at higher temperatures, such as 150°C. Generally, the contrast achievable with AZ EXP 20 X (>16) is much higher than that possible for AZ FX 1000P (~6).
Synthesis of norbornene copolymers with diazo groups and their application as DUV resists
We synthesized a new type of norbornene-maleic anhydride copolymer which as diazoketo groups instead of acid labile protecting groups. The matrix polymer does not need a photoacid generator for the lithographic evaluation. And there is no necessity for the post-exposure bake step that is the cause of PED effects. Methyl 5-norbornenyl-3-oxopropionate was prepared by the reaction of acetyl norbornene with dimethyl carbonate in the presence of sodium hydride. And methyl 5-norbornenyl-2-diazo-3-oxopropionate was synthesized from the reaction between methyl 5-norbornenyl-3-oxopropionate and p-carboxybenzenesulfonyl azide. The polymer was prepared by free radical polymerization. Upon exposure to DUV light, diazoketo groups undergo a series of reactions that culminate in the formation of a carboxylic acid. The matrix polymer in the exposed region becomes soluble in the aqueous base developer. The polymer showed bleaching effect after exposure. Thermal stability of the polymer is measured by TGA and DSC. Characterization of the polymer is achieved using other techniques such as FT-IR, NMR, GPC, and UV. The resist patterns of 0.6 μm feature size were resolved using a KrF exposure tool and with a conventional developer, 2.38 wt% TMAH aqueous solution.
Mechanistic understanding of post-etch roughness in 193-nm photoresists
Young C. Bae, George G. Barclay, Patrick J. Bolton, et al.
Surface roughness of 193 nm resists after a dry etch process is one of the critical issues in the implementation of 193 nm lithography to sub- 100 nm technology nodes. Compared to commercial 248 nm resists, 193 nm photoresists exhibit significant roughness especially under the etch conditions for dielectrics, such as silicon dioxide and silicon nitride. While AFM analysis of DUV resists exhibit the mean roughness (Ra) of ~1 nm after blanket oxide etch, Ra’s of 193 nm resists were found to be in the range of 4 to 7 nm depending on the chemical structure of the resist backbone. In an effort to develop 193 nm resists with improved post-etch roughness (PER), we carried out exhaustive screening of the available 193 nm resist platforms using bulk oxide etch followed by AFM analysis of the resist surface. Benchmarking results indicated that cyclic olefin copolymers, prepared by vinyl addition copolymerization of norbornene derivatives, exhibit significantly better PER than (meth)acrylic copolymers, cyclic olefin/maleic anhydride (COMA) copolymers, or COMA/(meth)acrylic copolymers (COMA hybrid). In this paper, are addressed various factors that influence PER of 193 nm resists and presented solutions to overcome etch inferiority with 193 nm resists for the real device fabrication.
Newly developed alternating-copolymer-based silicon-containing resists for sub-100-nm pattern fabrication
Jun Hatakeyama, Takanobu Takeda, Takeshi Kinsho, et al.
Silicon containing bi-layer resist systems for 193nm lithography have been developed for sub-100nm pattern fabrication. Lithographic characteristics of thin film top layer resist show the advantages of high resolution and wide process window. Thick under-layer covers substrate topography with minimum reflectivity and provides sufficient etch resistance for substrate etching. Alternating-copolymers have been employed as backbones of silicon containing resists polymers. Several kinds of functional silicon containing olefins have been synthesized and polymerized to form alternating copolymers. Structural properties of alternating copolymer and hydrophobicity of the silicon containing groups effectively reduced micro swelling in developer and minimized line edge roughness. Discrimination enhancement and acid diffusion control were investigated to achieve high resolution and small proximity pattern size bias. As a result, rectangular 100nm dense line patterns with small line edge roughness are delineated by the newly developed silicone containing resist, using 193nm scanner of NA value of 0.68 and COG-Mask. Characteristics of oxygen reactive ion etching resistance onto the new alternating polymers will be also discussed.
Investigation of cyclopolymerization for ArF positive photoresist
Youngjoon Lee, Kazuhiko Hashimoto, Hiroaki Fujishima, et al.
Cyclopolymerization methodology is unique because it uses a standard free radical polymerization that is free from the use of metal catalyzed chemistry while it still can provide the main chain cyclic structure. The feasibility and applicability have been examined previously, from which some of the potential opportunities have been revealed. Our initial research direction was aimed at developing robust etching resistant acrylic resins through cyclopolymerization. During the course of our investigation it came to our attention that there might be more than one benefit we could get from this approach and here in reported is our recent progress in the study. A series of diacrylic monomers and their cyclic polymers have been prepared and evaluated for ArF optical lithography applications. The reaction of acrylic esters that have essential functional groups for lithographic performances such as an acid-cleavable bulky adamantyl group and a polar lactone group with formaldehyde in the presence of diazabicyclo-(2,2,2)octane has been shown to provide access to an ether linked symmetric or asymmetric diacrylic monomer depending on the starting materials with a reasonable yield after an adequate purification procedure. While the main research focus of cyclopolymerization of diacrylic monomers has been an improvement of dry etching resistance, an equal interest was placed on enhancing homogeneity in the polymerization reaction medium.
Nonshrinkable photoresists for ArF lithography
Outgassing from the resist causes volume shrinkage of the resist film and extensive damage to optical lenses of exposure tools. Image distortion and throughput loss can take place due to the outgassing. In this study, we designed and synthesized a new acid labile group, 7,7-dimethyloxepan-2-one, which was introduced into the matrix polymers for ArF chemically amplified resists. The 7,7-dimethyloxepan-2-one group was readily cleaved and the carboxylic acid functionality was formed by acid-catalyzed ring-opening reaction in the exposed region after post-exposure bake. The resist patterns of 0.22 μm feature size were obtained with a conventional developer using an ArF exposure tool.
Negative tone 193-nm photoresists
Nick Pugliano, Patrick J. Bolton, Tony Barbieri, et al.
Recently several authors have specifically noted the advantages of using negative tone resists for patterning narrow trenches. The growing interest stems from several factors. Firstly aerial image models indicate that negative tone systems should have improved process windows for patterning narrow trenches, relative to their positive tone counterparts. Secondly, negative tone resists are thought to be advantageous for minimizing variations of CD through pitch for trench layers thus reducing the optical proximity effect for certain exposure conditions. Finally, negative tone systems arguably circumvent the issue of resist poisoning from low k dielectric materials. The combination of these arguments has warranted our effort in the development of negative tone 193 nm resist systems, and this submission will present recent advances in this area. In particular the presentation will focus on prototypical negative tone formulations for use in patterning trenches with bright field imaging. We will present our results on a variety of performance attributes such as dissolution behavior, LER control, etch performance, resolution and process windows for these systems and we will provide a materials basis for using negative tone systems for patterning trenches for back end layers.
Methods to achieve sub-100-nm contact hole lithography
Tracy K. Lindsay, Robert J. Kavanagh, Gerd Pohlers, et al.
There are numerous methods being explored by lithographers to achieve contact holes below 100nm. Regarding optical impact on contact hole imaging, very high numerical aperture tools are becoming available at 193nm (as high as 0.9) and various optical extension techniques such as assist features, focus drilling, phase shift masks, and off-axis illumination are being employed to improve the aerial image. In this paper, the impact of the ArF photoresist is investigated. Polymers capable of thermal reflow of larger (~140nm) to smaller (90nm and below) contact holes are presented. Improved materials to achieve the properties necessary for good contact hole imaging for standard single layer resist (SLR) processing are also discussed. State-of-the-art ultra-thin resists (UTR) for contact holes and 193nm bi-layer resist systems are also studied as viable techniques to achieving very small contact holes.
Spatial frequency analysis of line-edge roughness in nine chemically related photoresists
An experimental study of the line edge roughness (LER) of nine 193 nm photoresist formulations is presented. In these formulations, the same polymer platform is used while the photoacid generator (PAG) properties and base concentration are systematically varied to produce controlled LER in 130 nm dense line/space features. SEM images of each resist are recorded using a KLA 8250 XR inspection tool. The SEM images are post processed using software developed at Shipley to extract frequency dependent LER as well as RMS amplitude LER. We present an investigation of the dependence of frequency limits and sensitivity on the magnification level and image quality. The primary source of noise in the LER measurements is found to be image amplitude noise, which makes determination of the line edge more difficult. The noise introduced by the line edge measurement errors is primarily high frequency noise. The top down resist profiles of the different formulations are used to calculate the LER power spectral density functions. While the absolute amplitude of the spectral density functions is different for each resist, all of the plots show a similar functional form. The resists show a maximum amplitude LER near the low frequency limit with an exponential decay at higher frequencies. The log plot of all of the resists show that the LER follows 1/f noise statistics. The dependence of the amplitude of the LER on the aerial image is also demonstrated.
Ring-opened maleic anhydride and norbornene copolymers (ROMA) have a good character resist flow process for 193-nm resist technology
Hyun-Sang Joo, Dong Chul Seo, Chang Min Kim, et al.
We have already reported the resist using a ring opened polymer of maleic anhydride unit (ROMA). The synthesis of the ROMA polymer is as follows: 1)copolymerization of cycloolefin derivatives and maleic anhydride 2)ring opening reaction of maleic anhydride unit. 3)substitution reaction of pendant group. The ROMA Polymer has several good properties such as UV transmittance, pattern profile, PED stability and storage stability. Especially, we have been known that the resist using a ROMA polymer has a good character for application of Resist Flow Process(RFP), recently. The ROMA polymer has shown various Tg value ranging from 100°C to 170°C in accordance with substituents and substituted degree. The resist made by ROMA polymer as a matrix resin showed a good lithographic performance at direct C/H pattern. We also got a good C/H pattern profile by resist flow process at sub-100nm hole size. In this study we will discuss about it and illustrate about various Tg value of ROMA polymers and data gotten by means of resist flow process.
Overcoat materials for acrylate resists to enhance their resolution
Koji Nozaki, Miwa Igarashi, Ei Yano, et al.
We have developed a series of water developable overcoat materials to enhance the resolution of acrylate resists. The overcoat materials are water solutions that consist of a water-soluble polymer, a cross-linker, and non-ionic surfactant with a small amount of IPA (2-propanol). They exhibit affinity for acrylate resists that make them penetrate and react at the surface of the patterned resists. The resolution of the resist can be improved below the resolution limit of the exposure wavelengths by using the optimized materials. Additionally, the line edge roughness of the resist patterns can be reduced with only a small change in the pattern size when a low baking temperature (<95°C) and/or a small change of the composition of the material is applied. These materials are compatible with both acrylate-based 193-nm chemically amplified resists and PMMA (poly(methyl methacrylate)) resists. This indicates that the reaction can proceed even without acids, which are generally generated from photo acid generators (PAGs). The opitimized material affords sub 100-nm patterns for hole and L/S (line and space) with an alicyclic acrylate resist. A 28-nm shrinkage is also obtained with a PMMA resist to formulate the 68-nm trench pattern.
Performance of imide and methide onium PAGs in 193-nm resist formulations
The performance of a new class of photoacid generators (PAGs) made from the onium salts of bis(perfluoroalkylsulfonyl)imide and tris(perfluoroalkylsulfonyl)methide anions were studied in 193nm formulations. The lithographic properties such as sensitivity, resolution, pattern profiles, footing, I-D bias and PEB sensitivity were investigated in methacrylate and COMA/methacrylate hybrid type matrix resins. In general the iodonium PAGs were about three times slower than the sulfonium PAGs. Methide and imide PAGs possessing similar fluoroalkylgroups showed comparable performance in terms of exposure latitude, I-D bias. And PEB sensitivity. Compared to the reference PAG, the profiles exhibited T-tops and sum. Among the new PAGs studied bis(perfluorobutanesulfonyl)imide exhibited close performance to that of the reference PAG except for the scum. Details on the exposure results of these PAGs in both methacrylate type and COMA/methacrylate hybrid type polymer based 193nm resist formulations are provided.
Investigation of the polymer systems for ArF resists
Mitsuharu Yamana, Masumi Hirano, Seiji Nagahara, et al.
Various resists, which consisted of polymer systems, such as methacrylate, acrylate and cycloolefin/maleic anhydride (COMA), were investigated in the viewpoint of etching resistance, lithographic performance and shelf life. The oxide etching rate was in order of acrylate < methacrylate << COMA. The surface roughness of the acrylate type resist after oxide etching was the smallest among all samples. The methacrylate type resist showed high resolution capability as a line and space resist. On the other hand, pattern collapse was observed in the acrylate type resist, and low resolution was shown in the COMA type resist because of the large resist thickness loss. In the case of contacts, the acrylate type resist showed better linearity. The sensitivity of the acrylate and methacrylate type resists kept at room temperature did not changed in 20 days, while the sensitivity of the COMA type resist changed. It was found that the methacrylate type resist was the most suitable as a line and space resist and the acrylate type resist was the most promising as a contact hole resist.
Effects of alkali treatment on ArF resist process
Jin-Soo Kim, Jae-Chang Jung, Keun-Kyu Kong, et al.
In ArF (193nm) lithography, severely sloped pattern profiles have been observed particularly in COMA type resists. In using COMA resists that are relatively absorbent, such crude profiles result in obstacles to litho-process reliability and stability. To improve weak profiles, the effects of Alkali Treatment (AT) on the surface of coated ArF resist film are explored because it is expected that Alkali Treatment works as additive quenchers in top area of resist film, and then latent image contrast can be improved consequently. For this experiment, TMAH (2.38wt%) developer was used as alkali solution and two kinds of ArF resist were used with in-house ArF resist (COMA type) and the commercial resist (Acrylate type). An appropriate Alkali Treatment was found to be effective for good profiles without thickness loss in COMA type but not for the Acrylate resist. In this paper, Alkali Treatment effects and process conditions suitable to obtain good patterns as well as considerable process margin (EL, DOF) will be discussed.
Improvement of resist process margin with short develop time process
Short develop time process was intensely investigated and characterized. Process margin for two different 193nm chemically amplified positive resists were analyzed for different develop times. It was found that the process margin, Exposure Latitude(EL) and Depth of Focus (DOF) for both resists is increased by shortened develop time. Resist develop rate and pattern wall angle characterization revealed that an improvement in process margin is strongly correlated to the resist develop rate and the key to obtain increased process margin is to terminate the develop reaction while the resist dissolution rate remains large. The “short develop time” benefits are suggested to result from the reduced dependence of the developed pattern on the latent image due to incomplete develop caused by the early termination of the develop reaction. Deeper investigation revealed the resist develop rate was affected by the develop application method as well as resist chemical differences. Dainippon Screen’s (DNS) slit-scan develop system is revealed to be very effective in controlling the resist develop rate because of its ability to apply the developer puddle very still and suitable for the short develop time process. It was also confirmed that the pattern collapse was reduced by shortening develop time. It is suggested this phenomenon is correlated to the penetration of developer into the resist-substrate interface as well as the change in pattern wall angle as a function of develop time. Post-develop defect levels have been confirmed for one 193nm resist over a range different develop times. This study revealed that develop time shortening resulted in fewer develop defects. In summary it is confirmed that short develop time process has the advantages of improved process margin for factors such as EL, DOF, pattern collapse, develop defects as well as throughput.
Polarity effects of polymer on the 193-nm resist performance
Hydrophilicity of a resist film is a major property to determine the compatibility of resist film and substrates. By incorporation of polar groups in the side chain of polymer, the hydrophilicity of polymer, eventually, the hydrophilicity of a resist film can be modified. Since most developer for photoresist is aqueous alkaline solution, the polar side groups control not only interaction with a substrate but also dissolution rate of the resist film. We have synthesized model polymers having various polar groups, and investigated the effect of the polarity on the 193nm resist photographic behavior. Acid, lactone, ether, and alcohol were selected as polar groups. Among them, various lactone and alcohol functional groups were selected for further study on the effect of the side group bulkiness. Hydrophilicity of each functional group was estimated by Log P, which can be calculated using simulation program. From the calculation, aliphatic alcohol and lactone have the highest polarity and ether has the lowest. However, the bulkiness of alcohol increases, the polarity is getting lower than that of ether. Polarity and bulkiness of the polar monomers (acid, lactone, ether, and alcohol group) affect wettability against developer, collapse in 1:1 L/S patterns and adhesion to substrates. The resist films have different contact angles by polarity, bulkiness and content of these monomers in polymer. The polymer including lactone group shows excellent lithographic performance and minimizes pattern collapse in 1:1 L/S. Furthermore, we investigated contrast, pattern profile and process margin of 193nm resist according to the polymer polarity.
Below 70-nm contact hole pattern with RELACS process on ArF resist
Mamoru Terai, Toshiyuki Toyoshima, Takeo Ishibashi, et al.
A chemical shrink technology, RELACS (Resolution Enhancement Lithography Assisted by Chemical Shrink), utilizes the cross linking reaction catalyzed by the acid component existing in a predefined resist pattern. This “RELACS” process is a hole shrinking procedure that includes simple coating, baking, and rinsing applied after conventional photolithography. Our target is realize of sub-70nm hole pattern formation by using new RELACS for ArF resist. At present, RELACS process is introduced to mass production of KrF lithography by using AZ R200 (Product name of Clariant) mainly. Then first of all we reported process performance of conventional RELACS material, AZ R200 with ArF resist. However AZ R200 does not show satisfactory shrinkage on ArF resist. Thereupon, we started on the development of new RELACS corresponding to ArF resist. As the result, we developed new RELACS material including Cross Linking Accelerator (CLA). It was found that CLA is able to improve reactivity of RELACS with ArF-resist. By using this new RELACS, It is Realized sub-70nm hole pattern formation with ArF-Ex lithography and It is able to Control of hole size by mixing bake (MB) temperature and additive ratio of CLA. Moreover this process was realized that thickness of shrunk hole is increased.
PEB sensitivity studies of ArF resist
SangHo Lee, Woo-Kyu Kim, Dalil Rahman, et al.
In an effort to develop a production-worthy 193-resist, it is important to understand the critical factors that impacts the CD variation during a routine photo lithographic process. A comprehensive investigation was done on factors affecting PEB sensitivity in ArF resist system. The areas of interest are polymer components, PAGs, bases, and photo lithographic process. In order to understand effects of the PAGs on PEB sensitivity, a number of PAGs possessing different types of cations and anions were investigated. Sulfonium type cations and acids with longer alkyl chains were found to be effective in reducing the PEB sensitivity. Influence of lithography process conditions was also studied on the PEB sensitivity. Increasing the soft bake (SB) temperature and decreasing the post exposure bake (PEB) temperature reduced the PEB sensitivity but mostly at the expense of line-edge roughness (LER). This paper presents our findings of the critical factors affecting PEB sensitivity and describes improved lithographic results of an optimized experimental formulation. In addition, delay effects after coating, soft bake, exposure, and post exposure bake (PEB) were also investigated and these results are included.
Photoresist reflow for 193-nm low-K1 lithography contacts
Contact patterning for advanced lithography generations is increasingly being viewed as a major threat to the continuation of Moore's Law. There are no easy patterning strategies which enable dense through isolated contacts of very small size. Lack of isolated contact focus latitude, high dense contact mask error factor and incredibly low defectivity rate requirements are severe issues to overcome. These difficulties mean that new and complex patterning methods for contacts at the 90nm and 65nm device generations are being considered. One possible option for improving the process window of contact patterning is resist reflow. Resist reflow can supplement almost any other optical extension method for contact lithography. Previous results have shown the significant benefits of this method for CD control on semi-dense and isolated contact for the 100nm device generation. This work extends the previous work by investigating very dense pitch through isolated contact patterning at 193nm low K1 lithography regimes. The encouraging overall CD control and process window of reflowed contacts using the ARCH TIS2000 bilayer resist system is analyzed through pitch for different imaging options. An investigation of the capability of resist reflow in combination with optimized reticle and illumination for the 65nm device generation is also presented as are details of defectivity levels for reflowed contacts on 90nm device products.
Improvement of pattern collapse in sub-100-nm nodes
We investigated the effect of surfactant-added rinse and soft bake conditions on the pattern collapse in sub-100nm ArF lithography. Pattern collapse was estimated by comparing the critical dimension (CD) and the frequency at which collapse occurred. Collapse could be improved by using surfactant solutions, but the extent was different from the model study concerning the contact angle and surface tension at equilibrium state only. From dynamic surface tension data, we found that surface tension in dynamic mode was more important than that in static mode when spin drying method was used. During the study we found that pattern collapse occurred much easily at the edge of wafer. By increasing bake time or temperature after resist coating, we could decrease the positional difference in the pattern collapse. It is supposed that these results come from the relaxation of internal stress in resist during spin coating
Materials for 193-nm Resists
icon_mobile_dropdown
Advanced RELACS (resolution enhancment of lithography by assist of chemical shrink) material for 193-nm lithography
Sungeun Hong, Yusuke Takano, Takashi Kanda, et al.
The controllability of iso-dense bias generated by 193nm lithography was intensively studied with novel RELACS material. The shrinkage, shrinkage linearity, and shrinkage bias were considerably relied on MB temperature. It is the most powerful technology that changing of mixing bake (MB) temperature can control iso-dense bias. Furthermore, AZ Exp.R600 has several attractive advantages, which are able to improve LWS, LER, sidewall roughness of contact holes, surface roughness, and side lobe. Moreover, we have successfully developed a novel RELACS material to be applied for the patterning of sub-70nm contact hole.
Section
icon_mobile_dropdown
Most feasible curing process for ArF resists in device integration aspect
ArF lithography has been successfully implemented for the development of sub-100nm DRAM devices. Such issues as CD (critical dimension) slimming during in-line SEM inspection and low dry etch resistance especially for SiN etch conditions, however, are still latent showstoppers for the production with ArF process. To overcome these problems, there are many efforts for continuous improvements in terms of material and process together with intensive study of new inspection tool and dry etch system. The curing process is one of promising candidates to stabilize the weak ArF resists. Many kinds of curing processes including e-beam curing, thermal curing, plasma curing, UV curing, and VUV (172nm) curing have been studied, and some of them have shown good effects until now. The new curing process with VUV (172nm) showed the most promising results. SEM induced CD slimming of ArF resist improved with 10 sec curing and D/E resistance highly increased with the curing. And there was no particle increase unlike e-beam curing process. And we also found that the re-flow of ArF resist with high Tg above degradation temperature was possible with the VUV curing. In this paper, the mechanism and properties of VUV curing processes will be discussed.
What an antishrinkage coating method can surmount among stumbling block of ArF resists
Hyung-Do Kim, Si-Hyeung Lee, Sang-Jun Choi, et al.
ArF resist systems have some serious stumbling block related to etch selectivity, pattern collapse, and pattern slimming during CD-SEM measurement. Among these problems, when exposed to electron beam, the linewidth reduction of resist features is the main topic in our study. Since the pattern slimming may result in accuracy error of measurement and potential device reliability issues due to permanent deformation, any method to improve this undesired phenomenon should be found. In this paper, the anti-shrinkage coating approach as a method to improve pattern slimming during SEM measurement will be described. The Anti-Shrinkage Coating (ASC) material consists of anti-shrinking water-soluble polymers and other additives (e.g. cross-linker). The ASC method is a chemical attaching process that includes simple coating, mixing, baking, and rinse steps with DI water, applied after conventional photolithography. The ASC method results that the pattern shrinkage during SEM measurement is reduced less than a half of the resist alone. Additionally, the employment of the ASC method gives rise to improve pattern fidelity and LER, which are serious problems in ArF lithography. Finally, we expect that the ASC method can contribute to form the critical small space patterns.
New trilayer resist process using a phenol-capped siloxane-based middle-layer for ArF resist process
Akihiko Otoguro, Satoshi Takechi, Takatoshi Deguchi, et al.
We have developed a new tri-layer resist process to meet requirements related to etching durability and aspect ratio of ArF process. The new phenol capped siloxane-based middle-layer does not change thickness and does not increase particles even after six months. Additionally no footing pattern formation occurs. Our middle-layer has a function as anti-reflect and simulated reflectivity in a top ArF resist layer is less than 1.0% at the tri-layer structure by controlling middle-layer and under-layer thickness. The critical dimension (CD) uniformity of 140 nm contact hole pattern is less than 6 nm (3 sigma) intra wafer. This new middle layer gives high etching sensitivity relative to under-layer and we can demonstrate pattern transfer using a contact hole pattern. We have applied this system to a dual damascene process and successfully completed a 280-nm pitch multilevel copper interconnection. We conclude that our new tri-layer resist process is suitable for use in mass production of 90-nm node LSI and below.
Investigation of 193-nm resist and plasma interactions during an oxide etching process
Benedicte P. Mortini, Philippe Spinelli, Francois Leverd, et al.
193 nm chemically amplified resists currently meet the lithographic targets for the 130 nm and 90 nm nodes. However, the integration of such 193 nm materials is still an issue due to lack of etch resistance of 193 nm resist chemistries. Indeed, depending on the etch conditions (etch chemistry, power, temperature, etc.) 193 nm resist pattern degradations can be observed such as strong surface roughness, pinholes or even a loss of mechanical stability. In this work, the interactions between an oxide etch plasma and different 193 nm Methacrylate based contact hole resists have been investigated for the 130 nm node. All the resists belong to the Fujitsu platform, with various activation energies for their protecting groups. As a result, it has been observed that depending on the resist, a partial or complete loss of the carbonyl groups can take place during the oxide etch step, leading to a loss of etch resistance and pattern stability. In addition, it has been shown that an uncontrolled deprotection reaction of such 193 nm resist film can induce some transient changes in their physico-chemical properties, such as a decrease of the resist glass transition temperature and flow temperature. Uncontrolled 193 nm resist deprotection leads to mechanical stress in the polymer film, inducing adhesion issues and bubble formation, as well as resist flow temperature decrease. As a conclusion, a stable and reliable photo-etch step involving a 193 nm resist should take into account the limitations introduced by possible plasma and resist interactions. This can be achieved by some etch recipe adjustments, such as the precise control of the cathode temperature during the etch step, as well as some 193 nm resist formulation optimization in order to avoid strong resist deprotection during the etch step.
Spin-on bottom antireflective coating defect reduction by proper filter selection and process optimization
A design of experiment (DOE) was implemented to show the effects of various point of use filters on the coat process. The DOE takes into account the filter media, pore size, and pumping means, such as dispense pressure, time, and spin speed. The coating was executed on a TEL Mark 8 coat track, with an IDI M450 pump, and PALL 16 stack Falcon filters. A KLA 2112 set at 0.69 μm pixel size was used to scan the wafers to detect and identify the defects. The process found for DUV42P to maintain a low defect coating irrespective of the filter or pore size is a high start pressure, low end pressure, low dispense time, and high dispense speed. The IDI M450 pump has the capability to compensate for bubble type defects by venting the defects out of the filter before the defects are in the dispense line and the variable dispense rate allows the material in the dispense line to slow down at the end of dispense and not create microbubbles in the dispense line or tip. Also the differential pressure sensor will alarm if the pressure differential across the filter increases over a user-determined setpoint. The pleat design allows more surface area in the same footprint to reduce the differential pressure across the filter and transport defects to the vent tube. The correct low defect coating process will maximize the advantage of reducing filter pore size or changing the filter media.
New materials for 193-nm bottom antireflective coatings
Marc Weimer, Vandana Krishnamurthy, Shelly Fowler, et al.
As the semiconductor industry constantly increases the information density and the speed of integrated circuits, the control over the shrinking critical dimension (CD) becomes increasingly important. Soon the current 248 nm exposure tools will be insufficient to meet the needs of the shrinking CD. Shorter wavelengths, such as 193 nm, will be required to progress to smaller feature size. However decreasing the exposure wavelength makes the control of the feature size even more difficult, due in part to a sharp increase in substrate reflectivity with decreasing wavelength. Controlling this reflectivity through the use of bottom antireflective coatings (BARCs) will play an important role in the success of upcoming lithographic technologies. While there are successful spindon organic BARCs for 193 nm lithography, continuing improvements in resist and process technology demand continuing improvements in BARCs. Described herein are the chemistry, methods, and performance of a highly versatile polymer for use as a future generation 193 nm spin-on thin film organic BARC. The versatility of the polymer functionality allows for cross-linking while baking by either a strong acid catalyzed thermal reaction without an additional cross-linking molecule, or an uncatalyzed thermal reaction with a cross-linker, both without off gassing. Attachment of a variety of chromophores is easily accomplished by a thermal reaction either to the polymer in solution or while on the wafer during baking. The versatility of having one polymer with functionality that allows for multiple modes of cross-linking, varied choice of chromophore, and method of chromophore attachment, provides a platform that can be easily tailored to meet the needs of the emerging 193 nm technology.
New materials for 193-nm BARC application
Charles J. Neef, Michelle R. Fowler, Michelle Windsor, et al.
New materials prepared at Brewer Science, Inc., have been targeted for first (30 to 35 nm) and second (80 to 90 nm) reflectivity minima thickness, have less than 0.1% reflectivity, and were fast etching compared to commercially available photoresists. The optical constants of these materials were measured with a variable angle spectroscopic ellipsometer (VASE) and ranged from 1.6 to 1.8 for the real refractive index and from 0.31 to 0.65 for the imaginary refractive index. Etching of these materials gave a selectivity of 1.6:1 with CF4 gas, and a selectivity of 1.3:1 with HBr/O2 compared to photoresist. After thermosetting, these materials were immiscible with photoresists and were not affected by base developer. Profiles utilizing the second reflectivity minimum BARC with JSR’s AR414J photoresist have shown 90-nm (1:1 line space) dense lines and 70-nm (1:2 line space) semi-dense lines. Profiles with first reflectivity minimum BARCs showed 110-nm dense lines with JSR’s AR414J resist and 90-nm lines with FFA’s GAR8105G resist.
Developer soluble organic BARCs for KrF lithography
Chris Cox, Darron F. Dippel, Craig L. Ghelli, et al.
The increasing speed of technology innovation has demanded faster computer chips and forced integrated circuit (IC) manufacturers to create smaller feature sizes on chips to meet this demand. The semiconductor roadmap has slated feature sizes to be reduced to 90nm in 2004 with continuing to decreases in the following years. Until recently, ion implant layers were not considered critical layers, and many fabricators still use 365nm exposure with dyed resists on these layers. However as implant feature sizes decrease to 250nm or smaller and overlay restrictions are tighter, KrF exposure is required for the ion implant photolithography process. Currently dyed KrF resists are limited in their resolution and their ability to control critical dimensions (CD) due to reflectivity of the substrates. A bottom anti-reflective coating (BARC) is desirable to help control substrate reflectivity and improve CD control. Until now the only solutions for using a BARC under KrF resist are inorganic and organic thermoset BARCs. These two solutions require plasma etch to remove them before the implant process. Plasma etch is undesirable in the implant process for two reasons; the first is damage to the underlying substrate and the second is increased cost of processing time to perform the BARC plasma etch. With a developer soluble BARC, the BARC is removed during the development of the photoresist, resulting in a minimal effect on wafer throughput as well as no permanent effects to the underlying substrate. In this paper we will discuss the chemistry behind a novel developer soluble BARC as well as the processing conditions used for testing these materials. We will also show results using these materials with various photoresists.
Novel spin-bowl-compatible wet developable bottom antireflective coating for i-line applications
Vandana N. Krishnamurthy, Charles J. Neef, Stephen R. Turner
A novel spin-bowl-compatible bottom anti-reflective coating (BARC) for i-line applications is presented in this study. The BARCs were prepared from a titanate sol-gel material, which exhibits excellent spin-bowl compatibility with a wide variety of solvents. A variable angle spectroscopic ellipsometer measurement on the titanate BARC gives an n (real refractive index) value of 1.71 and a k (imaginary refractive index) value of 0.40. The titanate BARC shows good compatibility with resist solvents and excellent photolithography performance with resolution down to 0.35 μm. No metal contamination was observed with this BARC when gate oxide integrity (GOI) testing was performed on different size capacitors.
Void elimination research in bottom antireflective coatings for dual damascene photolithography
This paper describes the research completed to qualify materials to be used as bottom anti-reflective coatings (BARCs) for dual damascene (DD) photolithography. Several problems have been identified in the DD process. Among them are low fill, iso-dense bias, meniscus shape, via wall coating, and void formation. The issue focused upon in this research is incomplete displacement or void formation in the vias. These voids will have detrimental effects and could ultimately cause chip failure.
Relationship between optical property of pattern image and adhesion of resist pattern
Junjiro Sakai, Akihiro Nakae, Atsumi Yamaguchi, et al.
To get fine patterns of ArF photoresist without pattern collapse, we studied the relation between optical property of pattern image and adhesion of photoresist pattern. In concern of the type of photo mask, we found that using attenuated phase shift mask could make experimental small resolution limit beyond the estimation by simulation. About ARC substrate structure (inorganic ARL/ oxide/ polySi), it was important not only to optimize the reflectivity, but also to optimize the phase of reflectance. Photoresist was easy to collapse when the phase of reflectance at the interface between photoresist and inorganic ARL is near the 0 degree, although the reflectivity was set below 1%. In order to change the phase of reflectance, the film thickness of oxide was varied. In the observation of photoresist profile, bottom profile was changed similar to simulation. In the case of organic bottom ARC, we could not observe the effect of the phase.
Thin film type 248-nm bottom antireflective coatings
Tomoyuki Enomoto, Keisuke Nakayama, Kenichi Mizusawa, et al.
A frequent problem encountered by photoresists during the manufacturing of semiconductor device is that activating radiation is reflected back into the photoresist by the substrate. So, it is necessary that the light reflection is reduced from the substrate. One approach to reduce the light reflection is the use of bottom anti-reflective coating (BARC) applied to the substrate beneath the photoresist layer. The BARC technology has been utilized for a few years to minimize the reflectivity. As the chip size is reduced to sub 0.13-micron, the photoresist thickness has to decrease with the aspect ratio being less than 3.0. Therefore, new Organic BARC is strongly required which has the minimum reflectivity with thinner BARC thickness and higher etch selectivity towards resist. SAMSUNG Electronics has developed the advanced Organic BARC with Nissan Chemical Industries, Ltd. and Brewer Science, Inc. for achieving the above purpose. As a result, the suitable high performance SNAC2002 series KrF Organic BARCs were developed. Using CF4 gas as etchant, the plasma etch rate of SNAC2002 series is about 1.4 times higher than that of conventional KrF resists and 1.25 times higher than the existing product. The SNAC2002 series can minimize the substrate reflectivity at below 40nm BARC thickness, shows excellent litho performance and coating properties.
New 193-nm bottom antireflective coatings
Keisuke Nakayama, Takahiro Kishioka, Shinya Arase, et al.
Currently, a reduction in the critical dimension (CD) of integrated circuits is needed. Therefore, 193nm (ArF Excimer laser) optical lithography technology is introduced to manufacture IC in the semiconductor industry. In these circumstances, Bottom Anti-Reflective Coatings (BARCs) for 193nm optical lithography are required for high performance. New spin-on organic 193nm BARC chemistries (chromophore-attached polymers) have been developed with the objective being a commercial product. This paper discusses the development of new spin-on organic 193nm BARC (ARC29A). New 193nm BARC had many useful properties considered important for a successful product. In addition to the control of the reflectivity, new 193 BARC was developed with the purpose of increasing adhesion between photoresist and BARC to restrain pattern collapse at interface. It has been strongly required to restrain pattern collapse recently, because of the continuing demand for decreasing feature size. It was accomplished by optimize polymer structure, increasing the affinity to the photoresist and so on. The development process plan details in the releasing in the day. And in litho performance, new 193nm BARC has good compatibility (photoresist-profile, DOF, EL etc) with various photoresists. At IMEC, 80nm resolution was achieved. The plasma etch rate was about 1.3 times leading 193nm photoresist, using CF4 as etchant.
Organosiloxane-based bottom antireflective coatings for 193-nm lithography
Joseph T. Kennedy, Teresa Baldwin-Hendricks, Jason Stuck, et al.
A sacrificial, spin-on 193nm UV absorbing organosiloxane film has been developed to enable via first trench last (VFTL) copper dual-damascene patterning. The SiO structure intrinsic to the Duo193 BARC provides the required plasma etch selectivity to the thin ArF photoresists in use today. Furthermore, an equivalent plasma etch rate between Duo193 and the low dielectric constant SiOCH films, used as the dielectric layer in the backend Cu interconnect structures, is possible without compromising the photoresist etch selectivity. An equivalent etch rate is a necessary for complete elimination of 'fence' or 'shell' defect found with organic-based BARCs. This work has led to the development of Duo193 organosiloxane based bottom antireflective coatings. Tunable formulation variables, such as BARC solution pH to modulate film acidity, can have a significant effect on bulk and surface film properties. In addition to solution pH, the effect of BARC film bake temperature on wet chemical strip rates was also studied. ArF lithography, plasma etch and selective removal are discussed to focus on the process integration benefits of the planarizing, organosiloxane BARC material.
Adaptability and validity of thin organic bottom antireflective coating (BARC) to sub-90-nm patterning in ArF lithography
Si-Hyun Kim, Si-Hyeung Lee, Gi-Sung Yeo, et al.
The introduction of ArF lithography in device manufacturing has been studied with a low k1-factor. There are a number of issues that must be resolved to ensure the successful implementation of this technology. Such issues include the reduction in resist thickness and organic bottom anti-reflective coating (BARC) due to the characteristics of ArF resist with lower etch resistance in comparison with that of KrF. Requirements of a suitable high-performance of thin organic BARC material include chemical reactions with sub-layer, simulation for the minimization of reflectance, faster etch rate, and compatibility with resist. The optimum refractive index (n) and the extinction coefficient (k) of thin organic BARC are simulated to match the optical properties of substrates. These values are satisfied with the reflectance less than 2% at 1st minimum. In the case of SiN sub-layer with acid absorption capability, it is confirmed that the chemical reaction with thin organic BARC has an effect on line edge roughness (LER) and pattern profile. Also, the degree of these effects is dependent upon the acidity of thin organic BARC. In this paper, it is shown that the application of thin organic BARC to sub-90nm patterning in ArF lithography is very feasible and adaptable in the view of lithographic and etch performance.
193-nm multilayer imaging systems
James D. Meador, Doug Holmes, William DiMenna, et al.
This paper highlights the performance of new materials that have been developed for use in 193-nm trilayer microlithography. The products are embedded etch masking layers (EMLs) and bottom antireflective coatings (BARCs). Both coatings are spin applied from organic solvent(s) and then thermoset during a hot plate bake. The EMLs (middle layers) are imaging compatible with JSR, Sumitomo, and TOK 193-nm photoresists. Best-case trilayer film stacks have given 100-nm dense and semi-dense L/S. Plasma etching, selectivities and solution compatibility performance of the EMLs meet or exceed proposed product targets. In addition, the EMLs exhibit both solution and plasma etching properties that should lead to successful rework processes for photoresists. The multiplayer BARCs offer good thick film coating quality and contribute to excellent images when used in trilayer applications. Combining the EMLs, which are nearly optically transparent (k=0.04) at 193-nm, with the new trilayer BARCs results in outstanding Prolith simulated reflectance control. In one modeling example, reflectance is a flat line at 0.5% on five different substrates for BARC thicknesses between 300 and 700-nm.
Heat-resistant photoresists based on new imaging technique: reaction development patterning (RDP)
Takafumi Fukushima, Toshiyuki Oyama, Masao Tomoi
Spin-coated films of non-photosensitive engineering thermoplastics mixed with photosensitive agent diazonaphthoquinone (DNQ) can be imaged with near-UV light. The engineering thermoplastics selected for study are commercially available poly(bisphenol A carbonate), polyarylate (U polymer) and polyetherimide (Ultem), and synthesized fluorinated polyimide, which have no specific functional groups. Development with a solution including ethanolamine dissolves the irradiated areas to give positive fine patterns. The two-component photosensitive systems showed good photosensitivity and resolution (line/space 10/10 µm) with about 10-15 µm in thickness.
Post soft-bake delay effect on CD variation in DUV resist
Shu-Fen Tsai, Chih-You Chen, King-Terk Chan, et al.
In this work, the effect of delayed time after soft-bake process, which is normally called “Post Coat Delay (PCD)” or “Post Soft-bake Delay (PSD)”, on the critical dimension (CD) performance has been investigated for a DUV resist. The smallest contact hole is always found at the first processing wafer, and the contact size gradually returns to its normal CD value when other wafers are continuously running. The resist cross sections showed that the CD variation is caused by resist footing. We have found that the resist footing might come from the reaction between bottom anti-reflective coating (BARC) and hexamethyl disilazane (HMDS).
Simulation of complex resist pattern collapse with mechanical modeling
Hyung-Joo Lee, Jun-Taek Park, Yeong-Keun Kwon, et al.
High aspect ration resist patterns with dimensions below 100 nm often bend, break or tear. These phenomena are generically called “resist pattern collapse”. Pattern collapse is a very serious problem in fine patterning of less than 100 nm critical dimension (CD), so that it decreases the yield. In order to mechanically analyze this phenomenon and create its simulator, two models have been made and compared. In this paper, various approaches with various analyses are made to understand pattern collapse. Also, the critical aspect ratio for 100 nm node, that determines whether pattern collapse happens or not, can be calculated with these approaches. Finally tear type caused by insufficiency of adhesion strength between the substrate and the resist is analyzed with a point of view of the surface free energy.
Equilibrium sorption and rate of diffusion of water into photoresist thin films
The equilibrium resist film water content at various water partial pressures and the rate of diffusion of water into photoresist thin films was investigated fro three model resist polymers: (1) a traditional novolac matrix, (2) poly(p-hydroxystyrene), and (3) bis-trifluoromethyl carbinol substituted polynorbornene. A quartz crystal microbalance (QCM) was used to measure the mass of water added to resist films exposed to environments of differing relative humidity. All three polymer systems absorbed significant quantities of water at 100% relative humidity with PHOST absorbing the most (9.8wt.%) followed by the polynorbornenes (5-8 wt%) and the novolacs (2-3 wt%). The diffusion of water into the polymer films was observed to follow Fickian diffusion behavior initially (Mt/Ms<0.6) followed by behavior indicative of concentration dependent diffusion at large water uptake values. Finally, interdigitated electrodes were utilized to estimate the impact of varying humidity upon the net dielectric constant of the resist films. A linear relationship was observed for measured capacitance versus water uptake for all polymers measured and a power law dielectric mixing rule was found to properly describe the effective dielectric constant of the water/polymer mixtures.
Modifications to thermophysical behavior in ultrathin polymer films
Understanding defects in ultrathin polymer films is of great importance to the microelectronics industry. We have taken the approach of trying to understand factors in polymer mobility by changes in glass transition temperature (Tg) and dewetting behavior. Incorporating fullerite into polystyrene (PS) films increased Tg relative to pure films. Photocrosslinkable PS showed a linear decrease in Tg with decreasing film thickness. Pyrene end-tagged polystyrene (PS-Py) and PS (both Mw = 4k) were compared on glass and gold substrates. Fluorescence data gave evidence of a higher probability of pyrene groups in proximity to the gold interface. This change in spatial distribution would be related to increases in Tg and suppression of dewetting for PS-Py films on gold.
Influence of film thickness, molecular weight, and substrate on the physical properties of photoresist polymer thin films
The influence of film thickness, molecular weight, and substrate on the glass transition temperature and coefficient of thermal expansion for poly(methyl methacrylates) thin films, a traditional electron beam resist material, has been studied in detail. Variable angle spectroscopic ellipsometry was used to measure the change in film thickness and polymer refractive index for polymer film thicknesses ranging from approximately 30 nm to 650 nm. The Tg for the polymer thin films was determined using changes in the rate of film thickness expansion as a function of temperature. It was observed that the film Tg exhibits a strong dependence on both the film thickness, the polymer molecular weight, and the substrate used. The Tg of poly(methyl methacrylates) films on hexamethyldisilazane (HMDS) coated silicon surfaces decreased with decreasing film thickness below a critical film thickness of approximately thirteen times the radius of gyration of the polymer. The Tg of poly(methyl methylacrylate) films on silicon native oxide surfaces increased with decreasing film thickness below a critical film thickness of approximately six times the radius of gyration of the polymer. The coefficient of thermal expansion also exhibited dependence on film thickness, molecular weight, and substrate used. It was found that the Tg of polymer thin films can be modeled using a “master” curve based on reduced variables, where Tg is normalized by the its bulk value and film thickness is normalized by the polymer radius of gyration. Master curves based on this scaling have successfully been generated for the two different polymer-substrate systems used in this work. The possible implications of this phenomena for ultrathin resist film behavior is also discussed.
Investigation of surface inhibition and its effects on the lithographic performance of polysulfone-novolac electron beam resists
Current resist materials used for electron beam lithography applications, such as mask making, have relatively low contrasts as compared to modern optical resists. Recently, our group has been investigating two component, polsulfone-novolac (SNS) resists which offer high sensitivities and moderate contrasts for electron beam lithography. Surface inhibition, which can help to reduce top surface loss in unexposed and partially exposed regions of the resist, is a very desirable property in such resists in order increase resist contrast. The main phenomenon being investigated in this work is the effect of soft bake temperature on surface inhibition in SNS resists. It was found that surface inhibition decreases dramatically in this resist system as a function of increasing bake temperature above 90°C. Results from this study indicate that there is a segregation of the polar polysulfone inhibitor away from the resist-air interface at bake temperatures above 90°C. Results from studies using various techniques including X-ray photoelectron spectroscopy and contact angle measurements to investigate this phenomenon are reported. Methods to enhance surface inhibition, and hence improve resist contrast, such as using surfactant loaded developers were also investigated in this work.
Deprotection volume characteristics and line-edge morphology in chemically amplified resists
Ronald L. Jones, Tengjiao Hu, Vivek M. Prabhu, et al.
The form and magnitude of line edge roughness (LER) is increasingly important in semiconductor processing due to continued reductions in feature sizes. While a large body of work connects processing factors to LER magnitude, the spatial dependence of LER is needed to provide a more complete description. The distribution of deprotection within the resist is represented as a collection deprotection paths created by individual photoacid generators (PAGs). In the limit of dilute PAG concentration, the form and size of the average deprotection path is measured using Small Angle Neutron Scattering (SANS) for a model photoresist polymer and PAG mixture. The heterogeneity of the deprotection volume produces “fuzzy blobs”. The shape of these blobs is compared to the form of LER at a idealized sidewall. The sidewall morphology is consistent with models of spatially random etching up to a cutoff length scale. The cutoff length scale is ≈ 5 times the size of a single deprotection volume, suggesting that collective phenomena are responsible for observed LER.
Improving chemically amplified resist modeling for 2D layout patterns
Physical insight into acid movement in Fickean and non-Fickean diffusions is illustrated for chemically-amplified (CA) resist system. According to this new insight, we propose two diffusion-sensitive patterns for the detection of acid diffusion, which are a sequentially double exposed cross pattern and standing waves from an isolated trench. Their shapes on the wafer are highly dependent on the type of acid diffusion in photoresist. By comparing the simulated shapes of these two patterns with experimental SEM measurements, a method of characterizing PEB modeling is developed. This new method can distinguish Fickean and non-Fickean diffusion and extract post-exposure (PEB) parameters accurately. This method is applied to APEX-E and UV210 and shows that reduced non-Fickean diffusion models both of these resists the best. The development thresholds for both 1D and 2D patterns under various image slopes are systematically investigated for APEX-E and UV210. A programmed foreground and background double exposure is applied to vary the image slope. This study demonstrates that thresholds for 2D trench end patterns are higher than that for 1D trenches. Except for the threshold for 1D trenches in UV210, which remains a constant regardless of image slope, all thresholds increase as image slope decreases. Thresholds in APEX-E increase up to 60% as image slope decreases by 30% while thresholds for trench end patterns in UV210 increase up to 20% as the slope decreases by 25%.
Investigation on the role of residual casting solvent in photolithographic behavior in 193-nm resists
We have been interested in the effect of the residual solvent on lithographic performance. The concentration distribution of solvent molecules along the film depth and the amount of residual solvents depend on their physical properties: evaporation rate, boiling point, viscosity, and so on. Since fast-evaporating solvent can make a dense skin-like layer at the top of the resist film, faster evaporation rate of solvent makes thicker film, while slow rate results in thinner film. And the amount of residual solvent is dependent of the evaporation rate of the casting solvent. The amount of residual solvent was verified by TGA method. It was found that the amount of residual solvent is a major parameter to determine film thickness, stiffness of resist pattern, acid diffusion length, and pattern profile shape.
Fundamental study of photoresist dissolution with real-time spectroscopic ellipsometry and interferometry
Sean D. Burns, Gerard M. Schmid, Brian C. Trinque, et al.
The use of in situ spectroscopic ellipsometry (SE) is demonstrated as a technique for studying photoresist dissolution. Experiments carried out using a J.A.Woollam M-2000 ellipsometer and a custom built cell designed for in situ film measurements show that bulk dissolution rate measurements using the SE technique agree with dissolution rate data obtained using multiwavelength interferometry. SE is also demonstrated as a method for measuring thin film dissolution rates, water sorption, and films that swell. An additional focus of this work was the topic of interfacial “gel” layer formation during photoresist dissolution. Ellipsometry and interferometry were used to test several photoresist resins, with an emphasis on phenolic polymers. Single and multiple layer models were used to analyze the data, and were compared to model calculations predicting formation of a gel layer. For the materials studied, interfacial gel layer formation in low molecular weight phenolic polymers was not detected, within the resolution of the experimental techniques (< 15 nm).
Does line-edge roughness matter?: FEOL and BEOL perspectives
Qinghuang Lin, Charles T. Black, Christophe Detavernier, et al.
Line edge roughness (LER) has been widely perceived to be one of the roadblocks to the continuing scaling of semiconductor devices. However, little evidence has been published on the impact of LER on device performance, particularly on the performance and the reliability of advanced interconnects. In this paper, we present such evidence from both the Front-End-Of-Line (FEOL) and Back-End-Of-Line (BEOL) standpoints. In the FEOL, we employed computer simulations to estimate the effects of LER on a number of performance parameters of sub-100nm transistors based on 2-dimensional and 3-dimensional device models. LER has been shown to affect both the average value and the variance of key device performance parameters for sub-100nm transistors. In the BEOL, we investigated the impact of LER on the performance of barrier layers in dual damascene copper interconnects. To this end, we emulated LER by roughening Si surfaces with controlled patterning by self-assembled diblock copolymers and reactive ion etching. In-situ time-resolved X-ray diffraction was used to study Cu diffusion through about 5nm Ta and TaN barrier layers deposited by plasma enhanced-atomic layer deposition (PE-ALD) on both smooth and rough surfaces. The X-ray diffraction results indicated that the surface roughness does not degrade barrier performance of the ALD Cu barriers. Mechanism of the roughness effects is also discussed. Line edge roughness is, however, expected to degrade copper interconnect performance by increasing copper electrical resistivity through enhanced electron surface scattering.
PEB model with cross-diffusion
In multi-component chemical systems, thermodynamic fluxes linearly depend on thermodynamic forces through the matrix of Onsager’s coefficients. By applying Onsager’s relationship to the PEB diffusion of acid and other relevant chemical components, we deducted a generalization of the traditional PEB diffusion-reaction equations. This generalized system includes cross-diffusion coefficients. We have shown that certain combinations of these coefficients predict acid propagation with constant speed, even when all coefficients are constant. This serves as an alternate explanation to the conventional theory, which has to assume exponential dependency of the acid diffusion coefficient on activated site concentration. The model with cross-diffusion coefficients has additional degrees of freedom, which makes it more flexible in calibrations to the empirical data.
Extraction of exposure parameters by using neural networks
Kyoung-Ah Jeon, Hyoung-Hee Kim, Ji-Yong Yoo, et al.
Dill’s ABC parameters are key parameters for the simulation of photolithography patterning. The exposure parameters of each resist should be exactly known to simulate the desired pattern. In ordinary extracting methods of Dill’s ABC parameters, the changed refractive index and the absorption coefficient of photoresist are needed during exposure process. Generally, these methods are not easy to be applied in a normal fab because of a difficulty of in-situ measuring. An empirical E0 (dose-to-clear) swing curve is used to extract ABC exposure parameters previously by our group. Dill’s ABC parameters are not independent from each other and different values of them would cause the dose to clear swing curve variation. By using the known relationship of ABC parameters, the experimental swing curves are to be matched with the simulated ones in order to extract the parameters. But sometimes this method is not easy in matching the procedure and performing simulation. This procedure would take much time for matching between the experimental data and the simulation by the naked eyes, and also the simulations are performed over and over again for different conditions. In this paper, Dill’s ABC parameters were extracted by applying the values, which are quantitatively determined by measuring the mean value, period, slope, and amplitude of the swing curve, to the neural network algorithm. As a result, Dill’s ABC parameters were able to rapidly and accurately extracted with some of the quantified values of the swing curve. This method of extracting the exposure parameters can be used in a normal fab so that any engineer can easily obtain the exposure parameters and apply them to the simulation tools.
A simple optical system parameter optimization method by comparing the critical dimension
Mi-Ae Ha, Dong-Soo Sohn, Kyoung-Ah Jun, et al.
Resolution enhancement technology will play a crucial role in the future of optical lithography. The only question is how much the resolution limit can be extended. The better critical dimension (CD) uniformity is demanded as pattern size is decreased. In our previous work, we had made a simulation tool which can find the optimum illumination system such as numerical aperture, wavelength, and illumination shape for best CD control. In order to improve better CD control, the mask size, assist feature size and placement are modified by the simulated annealing (SA) algorithm. However, in this method, the effects of post exposure bake or development process are not considered to predict the real CD, since the results are obtained only with the aerial image which can be precisely calculated. To consider these elements that affect CD profile, threshold energy resist model (TERM) model was suggested previously. However, TERM model still has a weak point in extracting necessary parameters for the transfer function. Therefore, we made a simulation tool using Monte Carlo method that extracts the necessary parameters with the experimental data. The experimental data include the exposure energy, measured line CD on a mask, wafer line CD after development. Finally, the predicted line CDs are compared to the empirical data under a different optical system condition to verify the extracted parameters. The simulation results matching the actual process can be obtained by using these methods.
Practical extracting method of PEB parameters by using rotating compensator spectroscopic ellipsometer
Hyoung-Hee Kim, Young-Keun Kwon, Seung-Wook Park, et al.
It is important that more accurate process parameters are extracted to predict the results of each process by simulation. It is well known that both refractive index and absorption coefficient of photoresist (PR) are varied when the thickness of PR is changed during post exposure bake (PEB) process due to the de-protection of polymer and decrease of free volume. We applied fast scanning rotating compensator spectroscopic ellipsometry (RCSE) to PEB parameters extraction of chemically amplified resist (CAR). It is possible to analyze thin film properties such as refractive index with respect to each exposure wavelength. But we only used the obtained resist thickness change data of exposed CAR before and after PEB by using RCSE in order to find out the easiest way of extracting correct PEB parameters. The decreasing of PR thickness during PEB was measured. Exposure and PEB conditions were changed for various RCSE measurements and the differences of the optical and physical properties were used to extract the PEB parameters; kamp, kloss and activation energy of de-protection. This method can be easily adopted in a normal fab and lab so that one can easily determine the correct PEB parameters.
New models for the simulation of post-exposure bake of chemically amplified resists
Post exposure bake (PEB) models in the lithography simulator SOLID-C have been extended in order to improve the description of kinetic and diffusion phenomena in chemically amplified resists. We have implemented several new models and options which take into account effects such as the diffusion of quencher base, different approaches to model the neutralization between photogenerated acid and a quencher base, spontaneous loss of quencher, and arbitrary dependencies of the diffusion coefficients on acid or inhibitor, respectively. In this study, the impact of these new model options on critical phenomena like iso-dense bias, linearity and line end shortening are examined. The simulations were performed for a calibrated KrF/ArF resist models.
Modeling soft-bake effects in chemically amplified resists
For lithography simulation physically correct resist models are required to achieve the best prediction of resist images across multiple process conditions. In the past, very limited work has been done to integrate the soft bake process into the full resist model. In this paper we describe how the soft-bake process generates a non-isotropic physical state in the resist. Then simple models for the effect of the solvent concentration, quencher concentration and free volume on the Exposure, PEB and develop kinetics are proposed and implemented. These models are coupled with the soft bake evaporation diffusion model to produce a physically based chemically amplified resist model that covers every processing step. The resulting model is used to simulate the kinetics for a chemically amplified resist as a function of soft bake condition.
A novel photoacid generator for chemically amplified resists with ArF exposure
Toshikage Asakura, Hitoshi Yamato, Akira Matsumoto, et al.
Recently a new non-ionic PAG was developed and its performance was evaluated in a model ArF photoresist formulation. The development profile of the photoresist including the new PAG was studied in detail by using dissolution rate measurement (DRM) apparatus and compared with popular PAGs, such as triphenylsulfonium triflate (TPST), bis(p-ter-butylphenyl)iodonium triflate (BTIT) and 5-norbornene-2,3-dicarboximidyl trifluoromethanesulfonate (NDIT). As the consequences, the photoefficiency of the new PAG was higher than BTIT and NDIT and comparable to TPST. From the DRM results, the new PAG showed a high contrast (high tan θ value). In addition, the simulation based on the results of DRM suggested that the new PAG is superior to TPST in terms of resolution and depth of focus (DOF) latitude.
Synthesis and evaluation of novel organoelement resists for EUV lithography
Junyan Dai, Christopher Kemper Ober, Sang-Ouk Kim, et al.
EUV lithography is to date the most promising NGL technology for the sub-50nm technology node. In this work, we have designed and synthesized several types of organoelement resists with minimum oxygen content for high transparency. Either silicon or boron was incorporated in the resist structures to improve both etch resistance and transparency. In the exposure studies, it was possible to image the silicon-containing polymers to 22.5 nm line/space patterns using EUV interferometry. A second type of EUV transparent resist platform was studied involving boron-containing polymers. Carborane carboxylic acid was attached to a copolymer backbone to introduce boron atoms with controlled structure attachment level. In a preliminary study, these polymers could be imaged by 248nm exposure. Effect of structure on line edge roughness is also to be included in the discussion.
High-sensitivity nanocomposite resist materials for x-ray and EUV lithography
Mohammad Azam Ali, Kenneth E. Gonsalves, N. Batina, et al.
Novel positive nanocomposite photoresists for X-ray lithography (XRL) and Extreme Ultraviolet lithography (EUVL) have been developed. In this work, resists containing acrylate monomers, organic-inorganic hybrid nanoparticles and a photo acid generator (PAG) were prepared by varying the compositions of the components. It was observed that the nanophotoresists were suitable for XRL and EUVL. The new resists exhibit all characteristics for NGL viz. defect free thin film formation <100 nm and show high sensitivity (1.0 - 1.2 mJ/cm2) and contrast (γ=4.9). This significant increase in sensitivity should lead to an enormous cost reduction of the XRL and EUVL processes as well as high-resolution sub-100 nm features.
Negative resist image by dry etching as a novel top surface imaging process for ion-beam lithography
Khalil I. Arshak, Miroslav Mihov, Arousian Arshak, et al.
Focused Ion beam (FIB) lithography has significant advantages over the electron beam counterpart in terms of resist sensitivity, backscattering and proximity effects. However, combining the FIB lithography with Top Surface Imaging (TSI) will extend its advantages by allowing anisotropic processing of thicker resist layers. This paper reports the development of novel single layer lithography process by combining focused Ga+ ion beam (Ga+ FIB) lithography, silylation and oxygen dry etching. The Negative Resist Image by Dry Etching (NERIME) is a TSI scheme for DNQ/novolak based resists and can result in either positive or negative resist images depending on the extent of the ion beam exposure dose. Results show that Ga+ ion beam dose in the range of 1μC/cm2 to 50μC/cm2 at 30keV can successfully prevent silylation of the resist, thus resulting in the formation of positive image after the dry etching. A negative image can be formed by using a second Ga+ ion beam exposure with a dose higher than 900 μC/cm2 at 30keV to pattern lines into the original exposed resist area. It was observed that resist regions exposed to such high doses can effectively withstand oxygen dry development, thus giving formation of negative resist image. In this study, nanometer resist patterns with high aspect ratio up to 15 were successfully resolved due to the ion beam exposure and anisotropic dry development. This novel TSI scheme for ion beam lithography could be utilized for the fabrication of critical CMOS process steps, such as deep isolation trench formation and lithography over substantial topography.
A comparative study between organic and inorganic resists in electron beam lithography using Monte Carlo simulations
A Monte Carlo study has been performed in order to understand the differences in exposure behavior between organic and inorganic electron beam resists. Typically inorganic resists constitute high atomic number species (Z>10) and are of higher density as compared to traditional organic resists such as acrylates. In this work, the consequences of tethering a high atomic number species such as a silicon or titanium atom onto a PMMA molecule on the electron beam energy deposition in the material have been investigated. The addition of these atoms increases the density of the hypothetical film and therefore the number of elastic and inelastic collisions suffered by an incident electron. The larger electron shell density associated with these high atomic number species more effectively shields the nucleus resulting in a larger average elastic scattering angle but the average inelastic scattering angle remains unaffected. The average radial and depth distance traveled by an incident electron decreases with increasing atomic number of the species tethered to the PMMA molecule. The radial and energy distribution of incident electrons in PMMA, HSQ, and a Titanium based metal-organic precursor film have also been compared. At low accelerating potentials, the broadening of the point source electron beam becomes larger with the increasing atomic number of the atoms in the resist material. However, at high accelerating potentials where the average depth distance traveled into the film increases, the point source electron beam broadening is essentially the same for both organic and inorganic films for thin films. Eventually, at large film thicknesses, the radial spread of incident electrons becomes broader in the inorganic films as a consequence of higher density and larger scattering atoms. Also, as a consequence of a larger number of collisions, the absorbed energy density in inorganic films increases, indicating that these materials will more efficiently capture electron beam energy as compared to traditional organic materials.
Novel silicon-containing polymers as photoresist materials for EUV lithography
Performance requirements for EUV resists may require the development of entirely new polymer platforms. In the first approach, we have synthesized norbornene-based copolymers using ring-opening metathesis polymerization (ROMP). Silicon containing norbornenes were synthesized and copolymerized with a series of monomers having acid sensitive and polar groups, including nitrile, carboxylic acid, hydroxyl, and anhydride functions to achieve random copolymers with suitable properties to be applied as resist materials. Using well-characterized metal alkylidene complexes, we could prepared polymers having controlled molecular weights and low polydispersities. From initial exposure studies using an EUV interferometer, we were able to pattern 150 nm pitchs without additional optimization. In the second approach, polysilane has been copolymerized with acid sensitive monomers (acrylate and styrene derivatives) to produced chemically amplified polysilane-copolymers.
Molecular weight effect on line-edge roughness
Toru Yamaguchi, Kenji Yamazaki, Hideo Namatsu
The effect of molecular weight (Mw) on line-edge roughness (LER) in ZEP resists, which are positive-tone electron-beam resists, was investigated by changing the size of the aggregates in resist films and the latent-image contrast. The LER was estimated by directly observing the pattern sidewall. It was clarified that the LER for low-Mw resist is larger than that for high-Mw resist and also that the LER for low-Mw resist is independent of the latent image contrast. On the other hand, the LER for high-Mw resist increases rapidly with decreasing contrast. These results can be explained by the relationship between the size of aggregates and the width of the transition zone between low- and high-dose regions. This strongly suggests that low-Mw resists are not necessarily advantageous in reducing LER.
Novel laser ablation patterning with organic thin film in running water
In the tri-level resist process, it is sometimes difficult to detect the alignment mark because of the anti-reflection performance of the organic thick anti-reflective (ARL). Laser ablation in running water was one of the most effective techniques for removing the organic thick ARL on the alignment mark. Generally, the ablation process produces many particles. The results of our experiment indicate that the particle distribution area greatly depends on the dome-shape bubble on the ablation area. The particle distribution area could be minimized by optimizing some ablation conditions according to the estimated size of the dome-shape bubble. By optimizing a shift of the narrow slit-laser-beam and its energy so as to keep the ablation/initial thickness ratio to less than 20%, fine ablation area could be obtained. This novel ablation technique is very useful for particle-free selective removal of the organic thick ARL film.
Effects of quencher ability on profile in chemically amplified resist system
Deogbae Kim, Hyun-Jin Kim, Sook-Hee Cho, et al.
Recently, KrF lithography has extended to 100nm technical node using various techniques and pushed ArF lithography to sub-100nm application. To enhance resolution, there are many problems to be solved, like dark erosion (dark film loss), sloped profile, line edge roughness (LER), and so on. Also, thin resist film must be used to prevent pattern collapse. In general, the aspect ratio is less than 2.5 for sub 100nm. For this reason, chemically amplified resist has to get high etch resistance, low dark film loss and vertical profile shape at maximum resolution. Many efforts have been made to solve these problems and to improve resist performance. In this study, we tried to resolve some of these problems using various acid-quenching systems. We estimated the quencher ability using acid diffusion depth in resist film by sandwich method and pKb values of amines. The changes of lithographic properties according to the application of different amines were investigated. It was found that acid-quenching ability of an amine was not related to its basicity from sandwich experiment results. In fact, quenching efficiency was more closely related to the amine molecular structure and bulkiness of a substituent attached to nitrogen atom. We observed that pattern shape and process margin were not directly related to the basicity of an amine, but more related to quenching efficiency. The amines having higher quenching ability show wider process margin. However, other lithographic properties such as LER and dark erosion were not affected by acid-quenching ability. It is believed that they are determined by other components including polymer, protection groups, and PAGs.
Alicyclic photoresists for CO2-based microlithography at 157 nm
Norbornene-based monomers were synthesized to include fluorinated moieties and/or chemical amplification switching groups. Polymers to be used as potential resist materials were synthesized from these monomers by addition polymerization using allylpalladium chloride dimmer. Monomers and polymers have been identified and partially characterized for important lithographic properties.
Line-edge roughness (LER) optimization on 300-mm DUV alternating phase shift (altPSM) processes
Benjamin C. P. Ho, Doug Guenther, Mosong Cheng, et al.
As critical dimensions (CDs) shrink to 130 nm node and below, LER consumes a substantial amount of CD budget and consequently affects the electrical performance of the circuitry adversely. While phase shift processes and trim etch have been broadly implemented into integration processes, to understand the impact of photo and etch processing parameters on LER and furthermore to control LER becomes crucial. In this paper, the line edge roughness (LER) on DUV 300 mm alternating phase shift processing was characterized as a function of illumination conditions and resist processing parameters. The imaging imbalancing was discussed and demonstrated with rigorous mask topography simulation and the effect of phase shifter on the LER was reported. The CD and LER common process window of iso, dense, and semi-isolated line/space as well as the effect of diluted developer concentration on LER were investigated in order to obtain the robust processes with the lowest LER at reasonable throughput. A molecular dynamic simulator of LER generated by using the concept of Monte Carlo simulation was demonstrated and will be used for further simulation down to 65 nm nodes. Finally, the etch transfer of LER in a BEOL short loop film stack of JSR LKD5109 porous low-k and silicon oxy-carbide was reported and the root cause of LER during etch was investigated by partitioning the etch steps.
Diffusion parameter analysis for chemical amplification resists as a function of resist process
Myoung-Soo Kim, Seung-Weon Paek, Dae-Sub Kwak, et al.
The diffusion parameters of chemical amplification resists are evaluated and analyzed as the functions of illumination condition, resist type and resist thickness. The pattern linearity is also compared among the different types of resist and process conditions. From the experimental results, it is confirmed that the diffusion parameters of dense L/S type resist is sensitively influenced by illumination condition, but those of C/H type resist are less affected by exposure condition due to the limited resolution of resist. Generally, the diffusion parameters seems to be much affected by sigma condition rather than the numerical aperture condition and it does not much influenced by resist thickness within similar thickness range. The 120nm, 100nm and 90nm dense L/S patterns are obtained with high contrast KrF resist of R5767 having diffusion parameter below 0.3 at the conditions of 0.80NA (89/60 sigma) and 2500 Angstrom thickness. Under the validation of DAIM, the most important parameter is the diffusion length of acid. Since the image contrast is given by the cross product of aerial image contrast and the resist function, the lithography performance depends upon not only the aerial image but also the resist function. The resist function is related with pattern pitch and diffusion length. Therefore, the increased value of resist function is required by introducing of high contrast resist having smaller diffusion parameter to induce the smaller pattern formation as explained in this experiment results.
Novel development technique using ozonated water
Kei Hayasaki, Riichiro Takahashi, Tomoyuki Takeishi, et al.
Two kinds of development processes were investigated. One is two-step development in which surface treatment using ozonated water was employed between the two steps of the development. The other is development in which ozonated water and hydrogenated water were employed in the pre-treatment step and the post-treatment step. The above-mentioned processes were applied to KrF resist process of 130nm generation. By pre-treatment using ozonated water and two-step development using ozonated water in inter-treatment, the shot-to-shot CD variation of isolated line (line width = 180nm) and the intra-shot variation were improved from 6.6nm to 4.4nm and from 13.5nm to 8.6nm, respectively. And the total variation was greatly improved from 15.0nm to 8.6nm. Moreover, the number of defects was greatly decreased by post-treatment using ozonated water and hydrogenated water continuously.
TMAH soak process optimization with DNQ positive resist for lift-off applications
Salem K. Mullen, Medhat A. Toukhy, Ping-Hung Lu, et al.
Reduced developer soak rinse time and increased post exposure bake temperatures were found to be the most effective process variables in extending the resist overhang. An extended resist overhang of 0.7 μm can be obtained under extreme conditions. Less extreme conditions were found to be more optimum for improved resolution with adequate lift-off profiles. The optimized process for AZ MIR 703 resist offers a range of options in resolution linearity, trench size bias, overhang and delta trench top-bottom width. Trench resolution of 0.2 μm is demonstrated in i-line regime.
Characterization of an ultra-thick positive photoresist for electroplating applications
Warren W. Flack, Ha-Ai Nguyen, Elliott Sean Capsuto
The performance requirements for ultra-thick photoresists have increased rapidly with the dramatic growth in new lithographic applications that require electroplating processes. Two of the main applications for ultra-thick photoresists are nanotechnology (MEMS) and advanced packaging. Flipchip packaging has become widely adopted to address electrical device performance and chip form factor considerations. The growth in the nanotechnology market is driven by a wide range of products, which include accelerometers, ink jet print heads, biomedical sensors and optical switches. Electroplating levels for these applications require a photosensitive polymer material capable of coating, exposing and plating with conventional semiconductor equipment and standard ancillary process chemicals. A single coat step to achieve the final photoresist thickness is critical to minimize the number of process steps and cycle time. The sidewall profile, aspect ratio, electroplating durability and subsequent stripability are all important. This study characterized a novel positive photosensitive chemically amplified photoresist (ShinEtsu SIPR) for the use in a 65μm thick electroplating level on copper. The lithographic performance of the ultra-thick positive photoresist was optimized using a broad band, low numerical aperture, 1x stepper to control critical dimensions (CD), sidewall angles and aspect ratios. Cross sectional SEM analysis, contrast curves, process linearity, and process latitude plots were used to establish the lithographic capabilities. High aspect ratio structures were then electroplated using the optimized photoresist process to demonstrate photoresist durability and stripability. A recommended process flow is described for this photoresist and stepper.
Challenges of processing thick and ultrathick photoresist films
Mike Kubenz, Ute Ostrzinski, Freimut Reuther, et al.
High viscous photoresists are required for the MEMS and MOEMS technology. Processing of thick and ultra-thick resist films is a challenging task. In this paper, procedures are presented to attain improved patterning results. Baking by infra-red radiation (IR baking) is described as an effective approach for effectively drying thick and ultra-thick resist layers. Patterning results are shown to confirm the performance and benefits of IR baking. Examples of up to 60μm thick layers of two positive tone resists, ma-P 100 and ma-P 1275 (micro resist technology GmbH, Germany), and up to 500 μm layers of chemically amplified negative tone photoresist SU-8 (MicroChem Newton, MA) are presented. IR baking allows reduced process time and lower bake temperature enabling high aspect ratio and low stress SU-8 layers.
Optimized thick film processing for bumping layers
Stanley F. Wanat, Robert Plass, Ernesto S. Sison, et al.
As information densities increase with each generation of microchips, there is a concurrent reduction in feature sizes and even chip dimensions. With reduced chip sizes, the horizontal space for connectors on the back side of the chips is also limited. Most resists are not thick enough to accommodate the height of the connector posts needed. As a consequence, the plated posts or “bumps” overfill the imaged via holes thereby providing a mushroom effect that reduces usable horizontal space for other connectors. We have formulated a high solids photoresist (AZ 50 XT) capable of depositing 60-90μm single coat resist films. By optimizing processing conditions, reasonably straight side-wall geometries are possible. The importance of processing parameters (baking, exposure and development) are heightened by the inherent difficulty in balancing residual solvent against reasonable processing times needed for commercial use. This paper summarizes a joint program between Clariant and SUSS Microtec in optimizing the use of AZ 50 XT resist for bumping layer applications.
Reduction of implantation shadowing effect by dual-wavelength exposure photo process
Yiming Gu, Dyiann Chou, Sang Yun Lee, et al.
As transistor engineering continues to well below 100 nm length devices, ion implantation process tolerances are making these formerly "non-critical" lithography levels more and more difficult. In order to minimize the channeling effect and to obtain a controllable profile of dopant, an angled implantation is often required. However, a shadow area of resist pattern is always accompanied with an angled implantation. This shadowing effect consumes silicon real estate, and reduces the line edge placement (LEP) tolerances. Therefore, methodologies to reduce the shadowing effect in angled implantation become a critical consideration not only for device engineering but also for photolithography. Based on the model analysis, simulation and experiments, this paper presents an effective novel process utilizing dual-wavelength exposure (DWE) to reduce the shadowing effect. The DWE process is realized by two consecutive exposures for an I-line resist with a DUV stepper/scanner and an I-line stepper. The process leverages the high absorption coefficient of novalak-DNQ resist at 248 nm, and results in a tunable post-develop resist thickness to minimize the shadowing effect. It is effective in satisfying the junction requirements and also is helpful in minimizing the number of photoresists in a manufacturing fab. A repeatable resist profile and an excellent CD uniformity across wafer also indicated that the DWE is a potentially manufacturable process.
Novel apparatus for the uniform heating of substrates during post expose bake
Gary Hillman, Pierre Infelta
It is well known that the use of Chemically Activated Resists (CAR) or Chemically Enhanced Resists (CER) require that the Post Exposure Bake be extremely uniform at temperature ramp and at the steady state as Critical Dimension control is strongly dependent upon bake temperature. A novel, simple and robust method of obtaining near perfect bake temperature uniformity and the enhanced results obtained thereby are discussed.
Progress toward the development of a 157-nm photoresist for carbon-dioxide-based lithography
Photolithography requires organic solvents and aqueous base in the spin-coating, development, and stripping of photoresists. Carbon dioxide, an inexpensive, plentiful, and environmentally sound solvent with tunable solvency, has been proposed as an environmentally friendly alternative to traditional solvents in the electronics industry. Replacing current solvents with CO2 stems from the inherently low viscosity and surface tension of CO2. These properties allow for development of sub 0.1 μm images without image collapse, a potential problem in aqueous development. Carbon dioxide has been utilized for the synthesis of fluoropolymers. Therefore, given the high solubility of amorphous fluoropolymers in CO2, and the necessity of fluoropolymers for the next generation of photolithography (157 nm), CO2 may be an environmentally sound solvent for the synthesis, application, development, and stripping of photoresists. To accomplish this goal, several fluorinated monomers (tetrafluoroethylene, chlorotrifluoroethylene, hexafluoropropylene and vinylidene difluoride) have been copolymerized in dense carbon dioxide with norbornene and norbornene analogs. The resulting polymers have been characterized to determine molecular weight, comonomer incorporation, Tg, CO2 solubility, and absorbance at 157 nm and 193 nm.
Critical dimension (CD) control in 157-nm lithography
This paper describes the investigation on the feasibility of current coater/developer processes to the 157-nm lithography from the viewpoint of critical dimension (CD) control. The effect of coating, bake, and development process on the CD of a 157-nm resist, where fluorine is introduced in the side chain, is studied. A KrF and ArF resist is also used for comparison. Firstly, as for the coating process, the coverage performance and the film thickness uniformity of the 157-nm resist shows that the current coating methods are feasible to 157-nm resist, even though the 157-nm resist is highly hydrophobic. Secondly, as for the bake process, the post exposure bake (PEB) temperature dependence of CD for the 157-nm resist is found to be lower than that for 248 and 193-nm resist. This means that our current PEB temperature control system, which is suitable for 248 or 193-nm resist, is also effective for the 157-nm resist. Thirdly, as for the development process, it is found that a static puddle formation process shows a smaller line edge roughness (LER) than a dynamic puddle formation process. Therefore, the static puddle formation process, with the use of linear drive (LD) developer nozzle for instance, is attractive for the 157-nm resist process. Lastly, from the viewpoint of contamination control, it is found that the amine level should be controlled to be less than 0.1ppb in order to prevent the CD change during post exposure delay (PED) for the 157-nm resist.
Novel development method to improve critical dimensional control
Recently semiconductor manufacturers have strived to continuously introduce new products with smaller circuit designs. With this in mind, we are required to improve critical dimension (CD) control during development. Resist reacts with TMAH to swell and dissolve in developer solution. During this time, dissolution products are created in and around the exposed area. The behavior of dissolution products is a factor that varies CD in the development process. The dissolution products diffuse into the developer solution. As one of the developer methods to eliminate the influence of dissolution products, the authors substituted the dissolved resist with a large amount of unreacted, remaining developer solution. This “Optimized Spin-off Develop Method” lessened the influence of dissolved resist compared to other normal development methods. Detailed evaluations, however, revealed that dissolution products were not able to be completely removed. Moreover, the swelled resist dissolved again during static development. As a result, it was observed that dissolution of the residuals affected the development process. To remove the dissolution products completely we established a novel development method. Using this method, we were able to eliminate dissolution products, resulting in minimization of CD variation.
Improvement of CD controllability in developer process
Hideharu Kyoda, Atsushi Okouchi, Hirofumi Takeguchi, et al.
With recent improvements in design rule, requirements for CD (Critical Dimension) control have been increasing. Development is one of the critical processes affecting CD control. As a track supplier, we have focused attention on the stage of TMAH puddle formation and investigated various development systems. The behavior of resist dissolution is different between the early stage (when forming the puddle) and the later stages of the develop process (static development). It is already reported that proper control is necessary for the late stage in order to improve the CD control. The most noteworthy phenomenon in the late stage is that the dissolved resist (a develop process by-product) diffuses into the TMAH puddle. During this stage, the by-product and the TMAH are partially mixed in the puddle and cause CD variance within a wafer. Moreover, the amount of by-product on the pattern periphery significantly influences this phenomenon. It’s assumed that the by-product’s behavior contributes to CD fluctuation within a shot. We attempted to lower the concentration of resist by-product in the later stages of the develop process and confirmed some improvements in CD control. This report summarizes the new process and its effectiveness.
Improvement of pattern collapse issue by additive-added D.I. water rinse process
Keiichi Tanaka, Ryoichiro Naito, Tomohiro Kitada, et al.
Reduction of critical dimension in lithography technology is aggressively promoted. At the same time, further resist thickness reduction is being pursued to increase the resolution capabilities of resist. However, thin film has its limitation because of etch requirements etc. As that result, the promotion of reduction results in increasing the aspect ratio, which leads to pattern collapse. It is well known that at drying step in developing process the capillary effect operates the photoresist pattern. If the force of the capillary effect is greater than the aggregation force of the resist pattern, the pattern collapse is generated. And the key parameters of the capillary effect are the space width between patterns, the aspect ratio, the contact angle of the D.I water rinse and the surface tension of rinse solution. Among these parameters the surface tension of rinse solution can be controlled by us. On the other hand, we've already reported that the penetration of TMAH and D.I water into the resist plays an important role on the lithographic latitude. For example, when we use the resist which TMA ion can be easily diffuse into, D.I water and TMA ion which are penetrated in the resist decreases the aggregation force of resist pattern and causes the pattern collapse even by the weak force against resist pattern. These results indicate that the swelling of photoresist by TMA ion and water is very important factor for controlling the pattern collapse. Currently, two methods are mainly tried to reduce the surface tension of rinse solution: SCF (Super Critical Fluid) and addition of additive to D.I water rinse. We used the latter method this time, because this technique has retrofittability and not special tool. And in this evaluation, we found that the degree of suppressing pattern collapse depends on the additive chemistry or formulation. With consideration given to process factors such as above, we investigated what factors contribute to suppressing pattern collapse for each resist platform when using additive-added rinse solutions. This report describes the results of our examinations and discussions of the pattern collapse mechanism.
Characterization of resist flow process for the improvement of contact hole CD uniformity
Cha-Won Koh, Dong-Ho Lee, Myoung-Soo Kim, et al.
We can print contact hole pattern beyond the optical resolution limit using resist flow process. But CD uniformity should be improved for its masse implementation because CD uniformity gets worser as shrink bias gets larger in resist flow process. For example, contact hole size of wafer edge region is larger by the amount of about 20nm than that of wafer center region. Contact hole CD uniformity depends on uniformity of wafer temperature to which bake oven temperature uniformity and atmosphere temperature uniformity surrounding the wafer in bake oven can affect. The air and exhaust have large effect on the temperature uniformity of atmosphere surrounding the wafer. And mechanical structure of bake oven has an influence on wafer temperature uniformity. So we tested CD uniformity according to types of middle cover in bake oven. We also investigated CD uniformity according to resist inherent characteristics concerning resist flow rate. We optimized resist flow process using two step flow system, which improves wafer CD uniformity by amount of 30% compared to one step resist flow process and considering resist thickness dependency. Device characteristics of chain resistance uniformity was enhanced by improving contact hole CD uniformity.
Fabrication of integrated circuits with high yield using ultrathin resist processes
We have demonstrated the fabrication of working 130 nm-node SRAMs with high yield using single layer ultra-thin resist (UTR) integrations. Transistor gates were fabricated using 140-nm-thick resist films in combination with a single layer, inorganic anti-reflective coating (ARC) that also acted as a hardmask (HM). An aggressive ARC/HM removal process was developed to enable the use of a thick ARC/HM. The thick ARC/HM was necessary to allow the incorporation of a resist trim step prior to polysilicon gate etch that reduced the transistor gate lengths in silicon from the printed critical dimension (CD) in resist. Transistor performance for both NMOS and PMOS devices with UTR-fabricated gates was equivalent to the performance of standard transistors. Working SRAM arrays were fabricated using UTR at the gate layer that achieved natural yield within 10% of the yield achieved with a thick resist process, and in some cases, with yield that exceeded the thick resist process. CD control for the UTR gate photo process was equivalent to the baseline photo process, and the UTR gate photo process was optimized to increase device yield. Contacts fabricated using 120-nm-thick resist films exhibited electrical characteristics equivalent to those fabricated with standard processes, and yielding SRAM devices were fabricated using UTR at the contact layer. Defect inspection of UTR contact patterning detected the formation of pinholes in the UTR films; however, the formation of pinholes was found to be dependent upon substrate-resist interactions.
Impact of surfactant in developer on CD performance
Peng Zhang, Manuel Jaramillo Jr., Danielle M. King, et al.
Surfactant-formulated developers were utilized to enhance the CD performance for 365nm (I-line), 248nm (DUV) and 193nm resist processing. From one generation to the next, the resist surface becomes more and more hydrophobic, creating the need for enhanced surface wetting. Contact angle measurement of surfactant-formulated developers on different generations of resist surfaces, from 365nm to 157nm resist surfaces, indicated improved wetting. On-wafer testing showed significant improvement on CD uniformity with surfactant-formulated developers for 365nm, 248nm and 193nm processing. Faster development rates were also observed for chemically amplified resist systems, including 248nm, 193nm and 157nm.
Impact of surfactant in developer and rinse solution on 193-nm lithography performance
Peng Zhang, Manuel Jaramillo Jr., Danielle M. King, et al.
In this study, surfactant-formulated developer and rinse solutions were used to enhance the performance of a 193 nm lithography process. The wetting and interfacial characteristics of surfactant-formulated solutions were studied and utilized as a screening tool for optimum formulation. The selected formulation was compared to the non-formulated TMAH development and DI water rinse process. Surfactants in developer and rinse solution significantly reduced pattern collapse, enabling an 86% increase of critical normalized aspect ratio. This corresponds to an increase in the usable resist thickness for an 80 nm 1:1 feature from 179 nm to 332 nm. Additional benefit provided by surfactant formulated process was a 25% improvement on both within-wafer and wafer-to-wafer critical dimension uniformity.
Rinse liquid to improve pattern collapse behavior
Geunsu Lee, Sung-Koo Lee, Young-Sun Hwang, et al.
We designed and prepared a test mask to study a pattern collapse (PC) and investigated a rinse dependency. We report the effect of surfactant and solvent in rinse. The collapse behavior was quantified in terms of the first collapsed critical dimension (FCCD) in 90nm L/S ArF resist patterns. In-house rinse liquids (SE series) showed relatively lower surface tension (ST) compared to commercial one. They greatly reduced pattern collapse behavior (PCB) of from FCCD 102nm to 85nm L/S using these solutions. However, SE-100 showed defect by bubble and the others show bad compatibility with photoresist. SES-100 is the only rinse liquid candidate in this experiment.
Robust lithography application to prevent resist poisoning in BEOL
Satoru Shimura, Tetsu Kawasaki, Mitsuaki Iwashita
As feature sizes become increasingly smaller in integrated circuits, the occurrence of resist-pattern defects (resist poisoning) has become a serious problem in Back End Of Line (BEOL) Dual Damascene (DD) processing. Against this background, we have researched a bi-layer silylation process as one type of multi-layer process and evaluated its effectiveness as a countermeasure to resist poisoning. In the bi-layer silylation process, two layers of chemically amplified resist (CAR) are formed on novolac, and after wet-developing the upper CAR layer by an alkali developer, a silylation reaction is generated to make the resist pattern resistant to O2 reactive ion etching (RIE). We found that the bi-layer silylation process was an effective countermeasure to resist poisoning in a methylsilsesquioxane (MSQ) DD structure with a 160-nm via, and that a 160-nm MSQ DD structure could be formed. We also found that the occurrence of resist poisoning depended greatly on the surface conditions of the via structure and on the resist-ashing technique.
Performance evaluation and analysis of a novel 300-mm combination bake-chill station
Arunn Narasimhan, Natarajan Ram Ramanan, Daniel J. Williams
DUV resists are extremely sensitive to temperature variations on the wafer during bake and chill cycles. In resist-processing tracks today, the wafer is moved by a robot or transfer arm, from the bake to chill plate. During this move, since the resist is still above the activation temperature, the wafer temperature is uncontrolled until it is placed on a chill plate. In the new station design presented here, the wafer is heated to the desired bake temperature and chilled back to room temperature before being moved by the robot, resulting in a tight temperature control of the wafer, throughout the process. Two models, axi-symmetric and three-dimensional (geometrically similar to the new station), are generated for analyzing the thermal performance of the above station. The numerical simulations, solving the momentum and energy equations in the computational domain, are performed using the commercial CFD software Fluent. The simulated temporal evolution of temperature from the beginning to the end of the bake-chill process is verified with the experimental data as measured by a 42-point OnWafer temperature sensor wafer on the new station. Methods to improve wafer surface temperature uniformity, in light of bake-chill-station mechanical and thermal design losses are discussed. Higher throughput of the cluster, a major productivity improvement contribution of this new design, is also highlighted.
Resist cracking and adhesion improvement
Il-Ho Lee, Jin-Seo Lee, Kwan-Yul Lee, et al.
The resist cracking phenomenon in hole pattern on TEOS oxide has been investigated widely. We found from various tests that the root cause is just poor adhesion between resist and TEOS oxide and better adhesion process can skip additional process like a plasma treatment to avoid resist cracking. In this work, we show the relations between adhesion and prime process and finally suggest the way to improve adhesion, which will be more critical to lithography process below 130nm because of easier pattern collapse due to high aspect ratio and narrow width.