Proceedings Volume 4349

17th European Conference on Mask Technology for Integrated Circuits and Microcomponents

cover
Proceedings Volume 4349

17th European Conference on Mask Technology for Integrated Circuits and Microcomponents

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 9 April 2001
Contents: 8 Sessions, 28 Papers, 0 Presentations
Conference: 17th European Conference on Mask Technology for Integrated Circuits and Microcomponents 2000
Volume Number: 4349

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Next-Generation Masks
  • Pattern Transfer and Testing
  • Pattern Generation and Data Preparation I
  • Poster Session
  • Metrology
  • Pattern Generation and Data Preparation II
  • Resist and Mask Blank Issue
  • Defect Inspection and Repair
  • Poster Session
Next-Generation Masks
icon_mobile_dropdown
Challenges and opportunities for EPL and EUVL masks
As the semiconductor industry is continuing to stay on the path laid by Moore's, law, challenges in the technology development are on the rise. For the transition from optical lithography to next generation lithography for sub 100 nm nodes, masks are considered to be high risk. Mask issues are not only critical to the NGL technologies--such as Extreme Ultra Violet lithography (EUVL). E-beam Projection Lithography (EPL). Ion projection lithography and X-ray lithography--but also to the extension of optical lithography using 193 and 157 nm wavelengths. The paper addresses the details and progress made on fabrication of EPL and EUVL masks in recent years, highlighting the challenges such as manufacturability, defect control, inspection and repair.
Resistless electron beam lithography technique for the fabrication of x-ray masks
Among the key issues for the use of X-ray lithography as a next generation lithography process is the fabrication of masks with high resolution and high aspect ratio absorbent structures. Due to its chemical stability, tantalum is the most widely used absorber for this application. However, the chemical resistance of the etch mask used to pattern the tantalum layer is a problem that needs to be addressed. In this paper, we present a fabrication technique which eliminates the use of such an intermediary etch mask by using a high resolution Silicide Direct-Write Electron Beam Lithography process to pattern the masks.
Removal process for buffer layer on multilayer of EUVL mask
Eiichi Hoshino, Taro Ogawa, Masashi Takahashi, et al.
To obtain a stable pattern profile for the SiO2 buffer layer of an EUVL (Extreme Ultraviolet Lithography) mask, the process latitude available under actual manufacturing conditions was examined by using a conventional spin wet etcher and trying to make the etching depth as uniform as possible. Generally, wet etching uniformity depends on the sequence of the paddling and swing of the etchant nozzle. A uniformity of 1.5%, which meets manufacturing requirements, was found to be obtainable with a special nozzle. This report details a process scheme for removing the buffer layer on the multilayer of an EUVL mask, and presents a method of inspecting the buffer layer along with some simulation results on the printability of residues near the pattern edge.
Progress in placement control for ion beam stencil mask technology
Frank-Michael Kamm, Albrecht Ehrmann, Thomas Struck, et al.
A short review of the current status of IPL stencil mask development is presented in this paper. Stencil masks based on 6' Si-wafer have been fabricated with a membrane diameter of 126 mm. With a typical membrane thickness of 3 micrometers , mechanical stability is a critical issue. The resulting placement errors have been measured using an LMS IPRO measurement tool and have been compared to Finite Element (FE) calculations simulating the fabrication process. Process-induced distortions can be predicted by FE calculations with an accuracy of up to 24 mm 3(sigma) . In addition to large circular membranes, an alternative geometry has been considered. Masks with a quadratic membrane area of 60 X 60 mm2 show IPDs of 3(sigma) equals 39 nm which are about 4 times smaller than those of large circular membranes. This result agrees well with predictions of FE calculations. In order to protect the Si-mask against ion bombardment, a protective carbon layer is deposited onto the membrane, thus preventing stress changes due to ion implantation. The current status of the carbon deposition process will also be addressed briefly.
Pattern Transfer and Testing
icon_mobile_dropdown
Investigation of quartz etch rate uniformity for alternating phase-shift mask applications utilizing a next-generation ICP source
C. Strawn, Chris Constantine, Jason Plumhoff, et al.
As critical dimensions and exposure wavelengths approach the physical limitations of optical lithography, the use of newer techniques such as Phase Shift Photomask Technologies become necessary to extend the viability of DUV lithography tools. Alternating Phase Shift Mask technologies are challenging the capabilities of current quartz dry etch processes; as this phase shift technique is achieved by the precise removal of quartz, the need for ever improving phase shift uniformity across the photomask surface requires extremely uniform quartz etch depth. To this end, a Next Generation ICP (Inductively Coupled Plasma) hardware configuration has been adopted. In this article, the quartz etch parameter space of this new ICP source is explored. Finally, process results including, quartz roughness, sidewall profile, and most importantly quartz etch rate uniformity will be presented.
Doubly exposed patterning characteristics using two alternating phase-shift masks
Sung-Woo Lee, In-Gyun Shin, Yonghoon Kim, et al.
Double exposure using mutually one-pitch-step shifted alt. PSM's is proposed to eliminate the (Delta) CD and CD reversal. By doubly exposing mutually one-pitch-step shifted alt. PSM's, the (Delta) CD and CD reversal is observed to disappear. The phase margin of 8 degree(s) and the undercut margin of 40 nm are obtained for 1.2 micrometers DOF margin. Comparing with alt. PSM, double exposure using mutually one-pitch-step shifted alt. PSM has larger margin in undercut and phase, which allows mask to be manufactured easily. The alignment tolerance is calculated to be 75 nm which is enough compared with recent lithographic systems. By doubly exposing mutually one-pitch-step shifted alt. PSM, the equal CD's of 141 nm and 142 nm were observed. Our double exposing technique proved to have large advantages over alt. PSM not only in removal of (Delta) CD and CD reversal, but also in the phase and undercut margin.
Canary reticle: a new diagnostic for reticles and a window into the physics of ESD damage to reticles
Reticle damage due to ESD is a well-known phenomenon.. A defective reticle prints defective dies1. Unfortunately, ESD damage to reticles is a common problem and becoming more common as time goes on. We have developed a diagnostic tool called the Canary Reticle for the purpose oflocating the sources of ESD damage within a photo bay. Over the past year, we have used it to study the effects of ESD on reticles. In this article, we summarize the findings ofthe study2. Extremely high levels of electrostatic charge develops in cleanrooms in general and particularly so for semiconductor cleanrooms. The absence of surface contamination, the action ofihe HEPA filters in sweeping ions out ofthe room and low humidity results in much higher voltage levels on objects as compared to conventional rooms. Many ofthe objects used must be excellent insulators or are insulators, but have been selected for other physical properties. Examples are quartz reticles and Teflon wafer cassettes and plastic reticle pods. The chrome structures on reticles have sharp corners, which concentrate the electric fields, making breakdown ofthe air between them easy to occur. The voltage on a conductor is the same at all points. Thus, in the presence of a voltage gradient (Electric field), the potential differences are focused into the gaps between the chrome structures, again making discharge easy. It is hoped that by understanding the mechanisms for ESD damage photo engineers will better be able to avoid it.
Pattern Generation and Data Preparation I
icon_mobile_dropdown
130-nm node mask development
Jan M. Chabala, Suzanne Weaver, David W. Alexander, et al.
As device dimensions shrink, a detailed understanding of the exposure and development of masks is necessary to optimize electron-beam lithography. Because of proximity effects and dose distributions within the resist, achieving small- pattern fidelity is one of the most challenging tasks in maskmaking. The research discussed in this paper examines the exposure and process parameters that influence the fidelity of features on a photomask, with a focus on critical dimension (CD) uniformity, CD linearity, small- feature resolution, and long-term system performance. In accordance with operating recommendations for the MEBESTM 5500 systems, all experiments are performed with ZEP 7000 resist, 10 (mu) C/cm2 dose, ZED 750 developer, and dry etch. Some experiments employ GHOST proximity effect correction (FastPEC). These results are instructive for improved 130 nm node lithography and 180 nm node productivity.
Continuous image writer with improved image quality for high-accuracy optical patterning
Joerg Paufler, Stefan Brunn, Tim Koerner
We report on the development of a production tool for fast optical maskless patterning. The Continuous Image Writer (CIW) combines the advantages of direct writing by using a programmable mask with the advantages of conventional optical lithography by using the same lithographic process for image formation in photoresist. An electronically programmable Spatial Light Modulator (SLM) is imaged into the substrate by passing a demagnifying Fourier optics. The use of short pulses of a KrF excimer laser allows the imaging of the extended image field of the SLM without stopping the substrate carrying stage during exposure. This results in short writing times. Based on the experiences with a production-like prototype of the CIW we have investigated the various contributions to image quality such as address grid, stitching errors, and SLM quality. This paper describes a method for achieving a critical dimension performance well suited for the generation of photomask and wafer patterns for present and future technology nodes.
Poster Session
icon_mobile_dropdown
First results from a new 248-nm CD measurement system for future mask and reticle generation
Gerhard W.B. Schlueter, Gerd Scheuring, Juergen Helbing, et al.
To keep pace with continuous shrinking design rules for masks and reticles a new 248 nm CD measurement system has been developed. The step to a shorter illumination wavelength leads to a better optical resolution power resulting in an improved CD linearity compared to systems using white light or I-line illumination for imaging. An overview of the system configuration is presented and first results of the improved optical performance as well as CD linearity and CD repeatability data are shown.
Improvement of temperature uniformity during prebake process in mask blank production
Bernd Beier, Fredi Schubert, Ute Buttgereit, et al.
The development in microlithography is focused on realization of feature sizes below 0.18 micrometers . This requires the reduction of defect size and density as well as the enhancement of CD performance. In blank production the CD uniformity is mainly influenced by resist thickness uniformity and temperature uniformity during prebake. This poster evaluates the impact of prebake process on CD performance. Best CD values are 10 nm range presently. Looking on the bake process of ZEP7000 at 200 degree(s)C a temperature difference of 1 K leads to a CD variation of 3.5 nm up to 7.9 nm. The work investigates the influence of an open and a semi open hotplate configuration on the blank surface temperature distribution during prebake process.
Mask definition by nanoimprint lithography
D. Lyebyedyev, Hella-Christin Scheer
Mask definition was performed by use of nanoimprint lithography and subsequent reactive ion etching in an oxygen plasma. Polystyrene was chosen as a polymer mask material. Different features ranging from 400 nm up to 4 micrometers were produced in the polymer layer by nanoimprint. Optimization of the residual layer removal process in oxygen RIE was performed at different pressures and self-bias voltages. Low pressure and high bias voltage are required for high quality mask definition.
Strategies for wafer-scale hot embossing lithography
Hella-Christin Scheer, Hubert Schulz, D. Lyebyedyev
We summarize flow and filling effects detected during hot embossing lithography (HEL), which reflect the visco-elastic properties of the polymers as well as the specific processing conditions and stamp pattern configurations. In particular a delayed elastic recovery is reported, which is found in larger elevated stamp regions. The consequences of these effects for mask definition by HEL are discussed, and strategies for wafer-scale imprint are de-duced. Successful imprint of 4' wafer is demonstrated under adequate processing conditions.
Metrology
icon_mobile_dropdown
Mask manufacturing contribution on 248-nm and 193-nm lithography performances
Alexandra Barberet, Gilles L. Fanget, Jean-Charles Richoilley, et al.
In this study, we focus on mask manufacturing contribution on 248 nm and 193 nm lithography performances. The masks are manufactured at DPI using both E-beam/laser writing technologies (e-beam/laser) and two etching processes (wet/dry). Masks are optimized for 150 nm node at wafer scale, neither RET not tuning are used, despite of this, we obtain excellent and unexpected results for inferior nodes which highlight the robustness of the manufacturing mask process being used.
Comparison of linewidth measurements on COG masks
Harald Bosse, Werner Mirande, Carl G. Frase, et al.
We report on comparison measurements for linewidths of test structures on chrome on glass photomasks by means of different types of light optical transmission microscopy and low voltage scanning electron microscopy (LVSEM). The investigated linewidth or critical dimension range was chosen to be between 0.3 micrometers and 5 micrometers on isolated and dense as well as clear and opaque line structures. After offset correction of the commercial i-line CD metrology tool we observed overall agreement between the results from light optical microscopy and the results from the LVSEM in the range of 20 nm. No significant polarization dependencies of transmitted light results were observed. The edge detection algorithms used for extraction of edge position from the measured profiles will be discussed for the types of instruments involved.
High-resolution inspection of 2D microstructures using multimode polarization microscopy
Michael Totzeck, Harald Jacobsen, Hans J. Tiziani
For accurate measurements of structures with sharp edges, as found on photomasks and wafers, polarization methods are useful because edges provide a unique polarization-effect: depending on geometrical form and material of the edge, its effect on the incident polarization is different. We have developed three different methods for polarization- utilization: (1) polarization interferometry, (2) Jones- matrix microscopy, and (3) quantitative phase-contrast. All three procedures are incorporated into one set-up. We describe the set-up and its measurement modes.
Quality assessment of advanced photomasks using the Q-CAP cluster tool
Kai Peter, Thomas Schaetz, Volodymyr Ordynskyy, et al.
The reduction of wavelength in optical lithography and the use of enhancement techniques like phase shift technology, optical proximity correction (OPC), or off-axis illumination, lead to new specifications for advanced photomasks: a challenge for cost effective mask qualification. `Q-CAP', the Qualification Cluster for Advanced Photomasks, comprising different inspection tools (a photomask defect inspection station, a CD metrology system, a photomask review station and a stepper simulation software tool) was developed to face these new requirements. This paper will show the performance and reliability of quality assessment using the Q-CAP cluster tool for inspection and qualification of photomasks. Special attention is paid to a key issue of mask qualification: the impact of CD deviations, loss of pattern fidelity-- especially for OPC pattern and mask defects on wafer level.
Pattern Generation and Data Preparation II
icon_mobile_dropdown
Improved throughput in the ALTA 3000 IC mask writing system
Gregory E. Valentin, Vishal Garg, Henry Chris Hamaker, et al.
The write time of an ALTA 3000HT mask writer has been observed to be up to 36% better than that of the ALTA 3000 system. The ALTA 3000HT system enables users to meet their performance requirements at increased production capacity with the use of new writing strategies. The ability to change between eight and four averaging passes, as well as the addition of key hardware improvements, gives users increased flexibility in meeting the throughput and print quality requirements for high-volume mask manufacturing. Observed throughput and print performance data, as well as benefits analysis and cost of ownership data, are presented.
AutoMOPS--B2B and B2C in mask making: mask manufacturing performance and customer satisfaction improvement through better information flow management
Luc de Ridder, Olaf Filies, Ben Rodriguez, et al.
Through application of modern supply chain concepts in combination with state-of-the-art information technology, mask manufacturing performance and customer satisfaction can be improved radically. The AutoMOPS solution emphasizes on the elimination of the order verification through paperless, electronically linked information sharing/exchange between chip design, mask production and prototype production stages.
Electron-beam lithography data preparation based on multithreading MGS/PROXECCO
Hans Eichhorn, Melchior Lemke, Juergen Gramss, et al.
This paper will highlight an enhanced MGS layout data post processor and the results of its industrial application. Besides the preparation of hierarchical GDS layout data, the processing of flat data has been drastically accelerated. The application of the Proximity Correction in conjunction with the OEM version of the PROXECCO was crowned with success for data preparation of mask sets featuring 0.25 micrometers /0.18 micrometers integration levels.
Study of mask aerial images to predict CD proximity and line end shortening of resist patterns
Mircea V. Dusa, Judith van Praagh, Andrew Ridley, et al.
For low-k1 optical lithography, mask effects are more significant than ever before. Also, at low-k1 lithography, mask type, reticle enhancements and exposure illumination conditions interact in a non-linear way, which makes difficult to predict lithography capability from analysis of simulated aerial images. However, it is worth to try using accurate aerial images for prediction of lithography capability, than exposing wafers on today's expensive lithography tools that are used 24 hour a day for production. This paper proposes a method to predict capability of lithography process from measuring mask effects based on the aerial images gathered at NA and coherence settings similar to real exposure conditions and comparing them to printed patterns in resist.
Resist and Mask Blank Issue
icon_mobile_dropdown
Application of e-beam chemically amplified resist to devices below 0.18-um node
We have experimentally studied a possibility of chemically amplified (CA) resist process for mask production in various aspects. The pattern fidelity of CA resist for small patterns such as serifs and scattering bars was compared to that of ZEP7000, the most frequently used e-beam resist. We elucidated the design of delay effect in vacuum during a long e-beam writing time. It proved that critical-dimension (CD) change occurred with an acetal type resist compared to an acrylate type resist. We have achieved CD uniformity of < 10 nm in 3(sigma) within 135 X 135 mm2 field showing a high possibility for CAR process to be applied to the mask production for device generations beyond 180 nm.
Photomask blanks quality and functionality improvement challenges for the 130-nm node and beyond
Hideo Kobayashi, Masao Ushida, Kunihiko Ueno
Lithography will use various types of resolution enhancement technique on reticles such as EAPSM and OPC to extend refractive reduction optics to the 130 nm node and below. And there are mountainous difficulties that confront mask- makers as well as photomask blanks manufacturers now. In this paper, photomask blanks development status is introduced, and issues to be solved for the future are discussed.
Defect Inspection and Repair
icon_mobile_dropdown
Phase defect inspection of 130-nm node phase-shift masks using a simultaneous transmitted and reflected light pattern inspection algorithm
Larry S. Zurbrick, David Emery, Maciej W. Rudzinski, et al.
Phase shifting mask technology will be necessary to product integrated circuits at the 130 nm node using KrF wavelength steppers. In order to successfully accomplish this goal, it is necessary to detect and repair phase shifting defects that may occur in the manufacture of these reticles. An inspection algorithm has been developed to improve the phase shift defect detection rate of an UV reticle inspection system and is based upon the simultaneous use of the transmitted and reflected light signals. This paper describes the phase defect sensitivity improvement over transmitted light only pattern inspection results and simultaneous transmitted and reflected light based contamination inspection results.
Charged-particle-beam-induced processes and their applicability to mask repair for next-generation lithographies
A comparison of the achievements of charged particle beam induced processes as published is evaluated to judge on the applicability of this technology for Next Generation Lithography mask repair. Methods for repair of defects of different types on different masks are reviewed. This compares the achievements of ion beam technologies as well as of electron beam technologies. With these techniques the properties of the deposited materials for open defect repair can be selected using different precursors, currents, temperatures and voltages for the deposition process. Very high resolution is achievable. For opaque defects the etching and trimming of a surplus of absorber or scattering material with electrons or ions is compared.
Compact excimer laser light source for optical (mask) inspection systems
Tobias Pflanz, Heinz Huber
The discharge pumped excimer laser is a gas laser providing ultra violet radiation with well defined spectral, temporal and spatial properties. The fast development of excimer lasers in recent years has succeeded in designing very compact, turn-key systems delivering up to 10 W of radiation at 248 nm (5 W at 193 nm and 1 W at 157 nm) with repetition rates up to 1000 Hz. Experimental data on important beam properties of excimer lasers in the field of mask inspection are being presented and discussed. Relevant parameters are spectral bandwidth, energetic pulse-to-pulse stability, pulse duration, beam pointing stability, beam direction stability, beam dimension, beam profile and coherence. We will compare the excimer laser with lamp sources and continuous wave lasers in the framework of these parameters. The discussion will show future opportunities of compact excimer lasers in optical inspection as well as in mask writing systems, improving resolution and throughput.
Defect inspection of IPL stencil masks with a KLA 351 tool
Albrecht Ehrmann, Annika Elsner, Rene Redemann
Optical defect inspection is the standard technique that is currently used for mask defect inspection. In this paper it will be discussed whether this inspection technique is also applicable for ion projection lithography stencil masks. Silicon stencil masks consist of a silicon membrane with 3 micrometers thickness. The patterns are stencil holes and trenches in the membrane. So, the geometry of stencil masks is completely different from chromium or phase shift masks. The key question is if the high aspect ratio is a fundamental problem for optical inspection. A defect test mask has been designed and manufactured. This mask contains 13 defect types over a whole range of sizes down to 0.2 micrometers . To inspect the stencil mask, the inspection parameter set has been adjusted. Most of the defects have been detected successfully down to about the same level as for chromium masks. Pinholes, which represent the worst-case situation due to the significantly reduced transmitted intensity, have been detected down to 0.7 micrometers .
Poster Session
icon_mobile_dropdown
Establishment of production processes and assurance method for alternating phase shift masks
Shiaki M. Murai, Yasuhiro Koizumi, Tatsuhiko Kamibayashi, et al.
Alternating phase shift masks (AItPSMs) are effective in reducing MEF. However, AItPSMs have been used in device development, not in production, because phase-defect assurance has not been sufficient. An assurance method for 180- and 150-nm rule A1tPSMs was established by the use of both MD-2000 and KLA/STARlight. We have started production of defect-free AItPSMs with quartz etched shifters and single trench structure s by a two-step quartz etching process, which has an advantage of low phase-defect density.