Proceedings Volume 4066

Photomask and Next-Generation Lithography Mask Technology VII

cover
Proceedings Volume 4066

Photomask and Next-Generation Lithography Mask Technology VII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 19 July 2000
Contents: 9 Sessions, 81 Papers, 0 Presentations
Conference: Photomask and Next Generation Lithography Mask Technology VII 2000
Volume Number: 4066

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Lithography Performance Evaluation and MEF Analysis
  • Mask Strategy
  • Masks for NGL: X-Ray, E-Beam, and EUV
  • Photomask Processes and Materials
  • Quality Assurance and Defect Reduction for Advanced Mask Process
  • Lithography Performance Evaluation and MEF Analysis
  • Mask Strategy
  • Masks for NGL: X-Ray, E-Beam, and EUV
  • Photomask Processes and Materials
  • Inspection and Repair
  • Advanced Patterning Tools
  • PSM and OPC Masks
  • Inspection and Repair
  • Masks for 157-nm Lithography
  • Advanced Patterning Tools
  • PSM and OPC Masks
  • Lithography Performance Evaluation and MEF Analysis
  • Inspection and Repair
Lithography Performance Evaluation and MEF Analysis
icon_mobile_dropdown
Requirements for lithography and mask technology from the standpoint of system LSI business
Keiichi Kawate, Tadahiro Takigawa, Hidemi Ishiuchi, et al.
The SLI business embraces inherent problems in relation to silicon process and design implementation. As the technology becomes more complex and geometries become smaller, shortening TAT and reducing costs become ever more urgent and significant tasks. Efforts are currently being made, particularly in the field of compilable total solutions, to shorten TAT and to re-use modules so as to reduce costs. In the trend towards smaller geometries, TAT and costs related to the mask process are becoming significant factors. It is necessary to optimize the entire prices from design to MDP, mask-making and lithography and thereby improve pattern precision, reduce EB writer shots, achieve greater mask latitude and identify true defects. It will also be necessary to re-use the lithography model during MDP and to use a consistent data hierarchy and a consistent data format.
Comparative study on MEEF and dose latitude between attenuated PSM and Cr binary masks
Hyun Joon Cho, Yong-Hoon Kim, Seong-Woon Choi, et al.
As the feature size shrinks dramatically, it is essential to use low-kl factor for minimum resolution under present optical technology. However, low kl causes the increase of the mask error enhancement factor (MEEF), which means that the wafer CD error transferred form mask CD error will be amplified non-linearity. This MEEF becomes one of the most critical issues in depth of focus (DOF) and an exposure latitude. This paper confirms that a small MEEF and better dose latitude is achievable if an attenuated phase shift mask is combined with a n optimal off axis illumination condition because the image contrast on wafer can be improved by reducing the magnitude difference between the zeroth order and the first order. This improvement is more noticeable especially in contact hole patterns. And it is confined that the choice of optimal intensity threshold is critical to MEEF, according to the dose error enhancement factor results for various resist thresholds. In conclusion, a smaller MEEF is obtained by combination of OAI and att. PSM and by choosing optimal intensity threshold on this low K1 lithography regime.
Impact of MEF on 0.15-um KrF lithography
Haruo Iwasaki, Hiroyoshi Tanabe
We studied the mask error enhancement factor (MEF) for four 0.15-micrometers patterns, isolated lines, line and space (L and S), isolated holes, and dense holes, for various process conditions. The MEF for isolated lines was the smallest of all. The MEF for L and S was not as small as that of the isolated lines. We obtained a less than 15-nm wafer critical dimension (CD) variation, when we reduced the mask CD variation to 20 nm. For the isolated-hole patterns using an attenuated phase shift mask (PSM) with large mask biasing can reduce the wafer CD variation. On the other hand, it is very difficult to reduce the MEF and the wafer CD variation for the dense-hole patterns. The alternating PSM was the best of the evaluated process, but it was not good enough to reduce the mask CD variation.
Novel methodology for 130-nm DRAM cell mask size optimization
Hideyuki Kanemitsu, Kouichi Nagai, Masafumi Asano, et al.
The possibility of 130-nm DRAM production with KrF lithography was investigated by simulation. First, the preferable exposure conditions that bring about sufficient exposure latitude (EL) for production were examined for each critical layer. Next, the effect of different mask errors of an attenuated phase shift mask on the EL was examined. In the experiments, a big difference was found on how much the errors reduced EL in the critical layers, and the EL of all patterns was found to change asymmetrically depending on the size comparison of completed mask and target design. In particular, if the contact hole size of Att. PSM is made smaller than design and exposure dosage becomes higher, EL decreases severely because of the sidelobe. As a result, selection of a size that is robust against mask errors instead of a size that exhibits the maximum exposure latitude without mask errors was found to maximize the practical EL in production. In this paper, we report on a novel methodology for 130-nm DRAM cell mask size optimization based on optical lithography simulation and dose-focus budget analyses. We also define the practical mask requirements for 130-nm DRAM production based on our simulation results.
Mask Strategy
icon_mobile_dropdown
Analysis of reticle deformation, reduction ratio, and MEEF of future optical lithography
Kenichi Kotoku, Koichi Mikami, Ryuichi Ebinuma, et al.
As a result of aggressive line width shrinking of semiconductor devices in the recent years, the requirements for advanced reticles are getting more and more stringent. Therefore, it is beneficial to consider increasing the reduction ratio of projection optics in order to relax the reticle tolerances. This paper discusses quantitatively the reticle, CD, DOF and overlay accuracy requirement listed in the 1999 International Technology Roadmap for Semiconductor (ITRS) roadmap. Our simulation suggests mask drawing accuracy needs to be further improved for better CD control accuracy. Increasing reduction ratio to 6x is also another way to meet the line width requirement. Productively enhancement with 6x reduction in comparison to 4x reduction ratio is also shown.
Mask cost of ownership for advanced lithography
Edward G. Muzio, Philip K. Seidel
As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.
Cluster tool solution for fabrication and qualification of advanced photomasks
Thomas Schaetz, Hans Hartmann, Kai Peter, et al.
The reduction of wavelength in optical lithography, phase shift technology and optical proximity correction (OPC), requires a rapid increase in cost effective qualification of photomasks. The knowledge about CD variation, loss of pattern fidelity especially for OPC pattern and mask defects concerning the impact on wafer level is becoming a key issue for mask quality assessment. As part of the European Community supported ESPRIT projection 'Q-CAP', a new cluster concept has been developed, which allows the combination of hardware tools as well as software tools via network communication. It is designed to be open for any tool manufacturer and mask hose. The bi-directional network access allows the exchange of all relevant mask data including grayscale images, measurement results, lithography parameters, defect coordinates, layout data, process data etc. and its storage to a SQL database. The system uses SEMI format descriptions as well as standard network hardware and software components for the client server communication. Each tool is used mainly to perform its specific application without using expensive time to perform optional analysis, but the availability of the database allows each component to share the full data ste gathered by all components. Therefore, the cluster can be considered as one single virtual tool. The paper shows the advantage of the cluster approach, the benefits of the tools linked together already, and a vision of a mask house in the near future.
Masks for NGL: X-Ray, E-Beam, and EUV
icon_mobile_dropdown
Current status of NGL masks
David M. Walker
The manufacture of Next Generation Lithography reticles presents many challenges. Extremely small critical dimension and image placement error budgets; novel substrates including membranes and multi-layer reflective coatings; and inspection, detection and repair of subresolution defects will force revolutionary change in the infrastructure of mask technology. This paper surveys current NGL mask designs, structures, materials and manufacturing capabilities. Results from mask fabrication, physical modeling, error budget analysis and extensive experience in building X-Ray membrane masks are presented to develop process learning plans to meet future product specifications.
EUV mask absorber characterization and selection
Pei-yang Yan, Guojing Zhang, Patrick Kofron, et al.
In this paper, we will present our research work in EUVL mask absorber characterization and selection. The EUV mask patterning process development depends on the choice of EUVL mask absorber material, which has direct impact on the mask quality such as critical dimension (CD) control, and registration. EUVL mask absorber material selection consideration involves many aspects of material properties and processes. These include film absorption at EUV wavelength, film emissivity, film stress, mask CD and defect control, defect inspection contrast, absorber repair selectivity to the buffer layer, etc. The selection of the best candidate is non-trivial since no material is found to be superior in all aspects. In an effort of searching the best absorber materials and processes, we evaluated Al-Cu, Ti, TiN, Ta, TaN, and Cr absorbers. The comparison of material intrinsic properties and process properties allowed us to focus on the most promising absorbers and to further develop the corresponding processes to meet EUVL requirement.
Photomask Processes and Materials
icon_mobile_dropdown
CA resist with high sensitivity and sub-100-nm resolution for advanced mask making
Wu-Song Huang, Ranee W. Kwong, John G. Hartley, et al.
Recently, there is significant interest in using CA resist for electron beam (E-beam) applications including mask making, direct write, and projection printing. CA resists provide superior lithographic performance in comparison to traditional non-CA E-beam resist in particular high contrast, resolution, and sensitivity. However, most of the commercially available CA resist have the concern of airborne base contaminants and sensitivity to PAB and/or PEB temperatures. In this presentation, we will discuss a new improved ketal resists system referred to as KRS-XE which exhibits excellent lithography, is robust toward airborne base, compatible with 0.263N TMAH aqueous developer and exhibits excellent lithography, is robust toward airborne base, compatible with 0.263N TMAH aqueous developer and exhibits a large PAB/PEB latitude. With the combination of a high performance mask making E-beam exposure tool, high kV shaped beam system EL4+ and the KRS-XE resist, we have printed 75nm lines/space feature with excellent profile control at a dose of 13(mu) C/cm2 at 75kV. The shaped beam vector scan system used here provides a unique property in resolving small features in lithography and throughput. Overhead in EL4+$ limits the systems ability to fully exploit the sensitivity of the new resist for throughput. The EL5 system has sufficiently low overhead that it is projected to print a 4X, 16G DRAM mask with OPC in under 3 hours with the CA resist. We will discuss the throughput advantages of the next generation EL5 system over the existing EL4+.
Magnetic neutral loop discharge etching for 130-nm generation photomask fabrication
Mikio Katsumata, Hiroichi Kawahira
The newest etching technique, magnetic neutral loop discharge etching (NLDE), is evaluated as a candidate for 130 nm device generation photomask fabrication. In order to ensure the mask etching performances corresponding to the 130nm generation, neutral loop discharge (NLD) etching feasibility for photomask fabrication was examined, and characteristics of NLD plasma and etching performances for Cr opaque material using a chemically amplified resist have been demonstrated. Under the practical etching conditions, nearly vertical Cr cross sectional profile with no undercutting for 0.4 micrometers mask patterns and sufficiently resist selectivity of 1.8 are obtained. CD linearity of down to 0.4 micrometers on the mask is ensured with remarkable CD accuracy. CD uniformity of 9.3 nm in range/2 on 88mm square area is achieved. CD variation caused by a global pattern density effect was examined and it was demonstrated that the NLD etching has higher performance for it in comparison with other etching systems.
Applications of MICP source for next-generation photomask process
Hyuk-Joo Kwon, Byung-Soo Chang, Boo-Yeon Choi, et al.
As critical dimensions of photomask extends into submicron range, critical dimension uniformity, edge roughness, macro loading effect, and pattern slope become tighter than before. Fabrication of photomask relies on the ability to pattern features with anisotropic profile. To improve critical dimension uniformity, dry etcher is one of the solution and inductively coupled plasma (ICP) sources have become one of promising high density plasma sources for dry etcher. In this paper, we have utilized dry etcher system with multi-pole ICP source for Cr etch and MoSi etch and have investigated critical dimension uniformity, slope, and defects. We will present dry etch process data by process optimization of newly designed dry etcher system. The designed pattern area is 132 by 132 mm2 with 23 by 23 matrix test patterns. 3 (sigma) of critical dimension uniformity is below 12 nm at 0.8 - 3.0 micrometers . In most cases, we can obtain zero defect masks which is operated by face- down loading.
Quality Assurance and Defect Reduction for Advanced Mask Process
icon_mobile_dropdown
Quality assurance and yield improvement in photomask fabrication
Takashi Yamauchi
Photomask used in aspect of semiconductor is called on 'No.0 process in wafer' or 'Key-parts', so that we are required to make it with Hi-reliability and Hi-quality level. On the other hand, its problem that cost of Photomask Fabrication jumps because machine price, inspection tool, and inspection steps in leading-edge are increasing. For decreasing what we call 'cost of quality assurance', its policy is significant that quality management in photomask production line is equal quality assurance in photomask, in addition improvement process capability. This report clears the requirement of customer, specification, and the problem, introduces quality assurance and yield improvement in photomask fabrication.
Development of photomask process with precise CD control, and an approach for DFM (defect-free manufacturing) using a cluster tool
Specifications for advanced photomask are becoming more and more stringent as the industry shifts to smaller lithography nodes. Among various requirements for photomasks, the need for stringent mean to target (MTT) control of critical dimensions (CDs) as well as the reduction of defects is the hottest issue for current photomask manufacturers. In this paper a unique photomask manufacturing method for precise CD-MTT control is described and an approach to defect free manufacturing (DFM) is also proposed. In the new method, a two-step compensation to cancel CD errors is adopted. Its essence is the selection of metrology tools used in each step. An MTT of +/- 5nm is achieved using this method. For DFM, a cluster tool, combining the processing tools with each other by a robot handler, has been installed and avoiding human handling is proved to be a correct way.
Fabrication process of alternating phase-shift mask for practical use
Naoyuki Ishiwata, Takema Kobayashi, Tadahiro Yamamoto, et al.
This paper presents a fabrication process of alternating phase shift mask for actual device production. The most important issue in any practical application of alternating phase shift technology is establishment of a zero-defect mask fabrication process. We developed an altPSM with good phase accuracy suitable for practical use. A total phase accuracy of +/- 1.5 degrees and phase uniformity within 2.0 degrees were achieved by the combination of a Cr/spin-on- glass (SOG)/quartz structure, improvement of shifter thickness uniformity and application of a phase adjustment technique. The disadvantages of using SOG shifters, such as the breeding of defects, were solved by improving both SOG quality and the coating process. Consequently, our proposed process can fabricate altPSM blanks that have the same quality as conventional ones. Moreover, process optimization has reduced the average number of residual shifter defects per mask was to 0.30. Conventional mask inspection systems do not provide sufficient quality assurance in an altPSM, so we had to consider a new inspection technique. The implementation of AIMS simulation for phase measurement, defect classification and printability checks of repaired regions improved the capabilities of mask quality assurance. In addition, we confirmed the effectiveness of printed wafer inspections. Our altPSM achieved satisfactory results in a trial fabrication of actual devices.
Universal inspection standard for evaluation of inspection system and algorithm sensitivity and runability
Jerry Xiaoming Chen, Charles H. Howard, Kong Son, et al.
The Verimask inspection standard is widely used to qualify inspection systems due to its simplicity, ease of use in a production environment, and readily understandable defect sensitivity table. The Verimask's major drawback is that it does not characterize the runability of an inspection system. Runability refers to the system's ability to inspect various pattern types, a critical characteristic of inspection systems used for mask manufacturing. Comprehensive inspection system capability evaluation should include both sensitivity and runability tests. Other inspection test masks suffer the same shortcoming of Verimask, providing simple sensitivity analysis without runability evaluation. The Universal Inspection Standard was developed to expand the Verimask's sensitivity test and to provide a runability test. The UIS runability module contains several typical industrial feature types at multiple technology nodes. We have used UIS to evaluate and benchmark inspection system and algorithms. Future UIS versions will be available with different feature and defect types to keep pace with inspection system development. In short, UIS provides a means to quantify an inspection system's runability in addition to the traditional sensitivity evaluation.
Investigation of fast and accurate reticle defect assessment methods using STARlight for chrome-on-glass reticle defects
Fast and accurate reticle defect assessment becomes increasingly important because wafer critical dimensions continue to shrink and mask inspection equipment has moved into the UV range thereby increasing the number of detected reticle defects. Defect size is not sufficient in determining if a defect prints or does not print and the threshold size for printing defects can vary broadly between 0.35 (lambda) /NA. At the low k1 factors required to print current technology feature sizes, correlation between reticle and wafer CDs ceases to be linear. The impact of reticle defects on CDs therefore, is more critical than for previous technologies and defect size, shape, and proximity to other features must be taken into consideration. Presented in this paper is an evaluation of different methods to determine the accuracy of imaging prediction for reticle defects, decreasing the time to results in a prediction environment by accelerating the decision process. These methods include printability based on aerial image and the in-line STARlight Contamination Printability Index.
In-line verification of linewidth uniformity for 0.18 and below: design rule reticles
TaiSheng Tan, Shen Chung Kuo, Clare Wu, et al.
Mask making process development and control is addressed using a reticle inspection tool equipped with the new revolutionized application called LBM-Linewidth Bias Monitoring. In order to use the LBM for mask-making process control, procedures and corresponding test plates are a developed, such that routine monitoring of the manufacturing process discloses process variation and machine variation. At the same time systematic variation are studied and either taken care of or taken into consideration to allow successful production line work. In this paper the contribution of the LBM for mask quality monitoring is studied with respect to dense layers, e.g. DRAM. Another aspect of this application - the detection of very small CD mis-uniformity areas is discussed.
Pattern shape analysis tool for defect judgement of photomask
Fuyuhiko Matsuo, Masao Otaki, Norihito Fukugami, et al.
It has been used to measure the maximum length of defect size for the defect decision method at the reticle inspection review. But since 0.25-0.18 micrometers node, we need to have another method to measure and judge the defect because of the complicated pattern line OPC shape and defects which could not decide to be acceptable or not for sensitive defect printability. The best way to know the effect of defects is to print on wafer or to use special review tool so called optical lithography simulation microscope like AIMS in order to judge these defects. But AIMS requires optical parameter of the wafer exposure machine. And its operation takes much time. And most of the detected defects can be judged at the photomask inspection process. We propose new judgement method for defect review precisely and easily. We have developed pattern shape analysis tool that makes defect shape of inspection review image some contact hole pattern example measured by its area and intensity values or another image acquisition system like SEM some quantitative expression. This method is useful for measuring the defect on a complicated pattern like OPC, corner rounding or edge roughness as pattern quality, or area size of a contact hole. Moreover, this method does not remain at the measurement with 2D pattern and can take the total quality of the light as the flux as well. We measured the shape of the mask pattern and the defect quantitatively using this method and evaluated print possibility about the defect print step.
Reticle defect sizing of optical proximity correction defects using SEM imaging and image analysis techniques
Larry S. Zurbrick, Lantian Wang, Paul Konicek, et al.
Sizing of programmed defects on optical proximity correction (OPC) feature sis addressed using high resolution scanning electron microscope (SEM) images and image analysis techniques. A comparison and analysis of different sizing methods is made. This paper addresses the issues of OPC defect definition and discusses the experimental measurement results obtained by SEM in combination with image analysis techniques.
Evaluation of printability and inspection of phase defects on hidden-shifter alternating phase-shift masks
Inspection and repair of defects represent some of the challenges for the fabrication of 'defect-free' alternating phase-shift masks needed for performance improvements in patterning the polysilicon gate layer of integrated circuit devices. Inspection, metrology, repair, and printability of defects on dark-field alternating phase-shift masks used in dual exposure processes for polysilicon gate layer patterning are discussed in this study. The impact of phase and chrome defects on photoresist features printed at an exposure wavelength of 248 nm is evaluated and compared to the defect signals measured on a mask inspection tool operating at 364 nm. Experimental data on printability and inspection of programmed glass defects with several different phase errors as well as programmed chrome defects are compared to simulations. The effects of the exposure tool focus conditions on phase defect printability are discussed in detail. Phase defect contrast enhancement mechanisms that may enable improvements in phase defect detection during mask inspection using conventional inspection tools are also addressed. Finally, successful repairs of real glass bump defects are demonstrated.
Improvement of defect density for DUV halftone PSM
Kyu-Yong Lee, Lee-Ju Kim, Kyeong-Mee Yeon, et al.
As the required minimum feature size is rapidly down to sub- micron at photomask, the fabrication of alternate lithography techniques to extend and prolong current technology becomes critical important. So recently MoSi PSM are being applied in semiconductor lithography, primarily for high density layers such as found in memory chips. The increasing use of MoSi based attenuated phase shift masks necessitates defect reducing on the MoSi phase shift mask reticles. In this paper, in order to reduce the defect on the phase shift mask reticles, we will discuss the results of several experiments, utilizing CHF3/)2 gas mixture and SF6/He gas mixture chemistries and various process sequences. This paper reports the results of defect reducing for MoSi attenuated phase shifting masks using an inductively coupled plasma system.
Practical phase control technique for alternating phase-shift mask fabrication
Masahiko Takahashi, Akihiro Miyake, Hidetaka Saitou, et al.
Techniques of fabricating altPSMs of single trench structure by quartz etching have been developed. A two-step etching process was adopted to make the side-etching structure. Shifter areas were etched by dry-method in first step and by wet-method in second step. In the experiments, by a RIE type dry-etching machine, a hydrofluoric (HF) acid etching system, a phase measurement system and 6025-mask plate were used. We optimized dry-etching parameter, such as pressure, RF power and composition of gas mixture ratio. The smallest phase difference between the device-pattern and the monitor- pattern was obtained in smallest phase difference between the device-pattern and the monitor-pattern was obtained in low pressure wit inactive gas. The dry etching uniformity was improved and range value was reduced from 2.7 degrees to 1.1 degrees by using type B of the plate holder. In wet etching process, two modes of movement and two concentration of buffered HF acid were compared from the viewpoint of etching uniformity. The uniformity was reduced to two third of the benchmark condition. For AltPSMs production quality, phase mean deviation is within +/- 2 degrees and uniformities are within 2.5 degrees. The technique described in this study can be applied to 150 nm node altPSMs fabrication and shows an extensibility to 130 nm node.
Improving reticle quality through reticle blank inspection
William B. Howard, Kevin A. Krause
We are jointly examining several aspects of reticle blank inspection. Previously, we have reported on our progress inspecting PBS blanks with the KLA-Tencor SL300 STARlight system. Using a simple test reticle we have measured, in a reproducible and qualitative way, the probability that a reticle blank defect will transfer to the finished reticle. Our study evaluated samples containing 452 blank defects. In some cases, the transfer probability is higher than 80 percent. We have now expanded our research to include reticles with optical resist such as 895I. Using eight optical blanks and a CD uniformity test pattern, we have found that the scanning process of the inspection does not measurably change the optical blank characteristics. However, there is clear evidence that the calibration process does impose some limitations on the use of non- destructive optical blank inspection. Our proposals to overcome those limitations are discussed.
Knack for reticle cleaning
Masumi Takahashi, Hitoshi Handa, Hisatsugu Shirai
Cleaning is one of the most important processes in mask making, because it decides final quality. In cleaning process, it is necessary for reticle cleanliness to not only remove particles from reticle but also prevent adsorption and re-deposition onto reticle. There is the knack for reticle cleaning, and we introduce three keys in this paper. The first key is the rinse after chemical treatment. By the rinse sequence modification, the cleaner was refined and the particle removal ability was improved. The second key is quality control to grasp the situation of cleaner. By the daily check, cleaner's abnormal condition is found at an early stage, quick action is taken, and then stable cleaning quality is kept every day. And the third key is proper choice of cleaners. We have adopted pre-cleaning process and selected the adequate cleaner for each cleaning level and improved cleaning yield and quality.
ArF halftone PSM cleaning process optimization for next-generation lithography
Yong-Seok Son, Seong-Ho Jeong, Jeong-Bae Kim, et al.
ArF lithography which is expected for the next generation optical lithography is adapted for 0.13 micrometers design-rule and beyond. ArF half-tone phase shift mask (HT PSM) will be applied as 1st generation of ArF lithography. Also ArF PSM cleaning demands by means of tighter controls related to phase angle, transmittance and contamination on the masks. Phase angle on ArF HT PSM should be controlled within at least +/- 3 degree and transmittance controlled within at least +/- 3 percent after cleaning process and pelliclization. In the cleaning process of HT PSM, requires not only the remove the particle on mask, but also control to half-tone material for metamorphosis. Contamination defects on the Qz of half tone type PSM is not easy to remove on the photomask surface. New technology and methods of cleaning will be developed in near future, but we try to get out for limit contamination on the mask, without variation of phase angle and transmittance after cleaning process.
Mask cleaner innovation
Hidehiro Watanabe, Kenji Masui, Akio Kosaka, et al.
A new clustered configurational photomask cleaning system has been developed. Accepting the clustered configuration, we can be free from the heavy tank photomask cleaner which has a large footprint and has no flexibility for designing a cleaning recipe. Provided we need to introduce a new cleaning process unit, we can substitute the unit by disassembling an old one in the system. We can always keep our photomask cleaner up-to date with the system, and, we can obtain most effective cleaning result by the least efforts and the smallest expense. Using this cleaning system with an optimized cleaning recipe, we have achieved the cleaning result of less than one particle, greater than 0.2 micrometers , detected by KLA Starlight.
Surface preparation of EUVL mask substrate for multilayer coating by supersonic hydrocleaning technique
Naoya Hirano, Hiromasa Hoko, Eiichi Hoshino, et al.
Extreme UV lithography (EUVL) is a promising process for patterning devices for the 70-nm technology node and below. In order to fabricate low-defect EUVL-mask blanks, two kinds of cleaning techniques have to be developed. One is for cleaning a substrate before deposition of the Mo/Si multi- layer, since particles on the substrate can induce phase defects by altering the periodicity of the multi-layer. The other is for cleaning finished masks. Since the material generally used for pellicles are not transparent to EUV light, EUVL masks will not have a pellicle. The surface of such masks needs to be kept very clean, because particles on the mask are easily transferred to a wafer. This paper describes a new particle removal techniques developed at the ASET SPC Lab, called supersonic hydrocleaning . It was used to clean substrates before deposition of the multi-layer, and its effectiveness was evaluated.
Lithography Performance Evaluation and MEF Analysis
icon_mobile_dropdown
Mask critical dimension error on optical lithography
Tae-Seung Eom, Sang-Sool Koo, Seung-Weon Paek, et al.
Optical lithography at resolution limit is a non-linear pattern transfer. One of the important issue is a mask critical dimension control because of nonlinear amplification of mask critical dimension error during image transferring on wafer. This amplification of mask error is called the MEF. This mask error factor has been widely used as an important parameter for indicating tighter CD control for the photomask for low-kl lithography generation.
Mask Strategy
icon_mobile_dropdown
Another look at stepper lens reduction and field size
Harry J. Levinson, Paul W. Ackmann, Lori Peters, et al.
It is possible to control linewidths on reticles over sufficiently large areas of reticles to provide benefit from increase in lens reduction. For some masking layers, the absolute control of dimensions is better for larger reticle field 5x reticles than for smaller field 4x reticles. The field size of critical layer steppers has an impact on the productivity of non-critical layer exposure tools that must be included in the determination of the overall lithography cost-of-ownership. Cost savings associated with greater lens reduction and reduced field size are greater for 200 mm than for 300 mm wafers. Results from a 7 inch mask are discussed.
Masks for NGL: X-Ray, E-Beam, and EUV
icon_mobile_dropdown
Next-generation lithography mask development at the NGL-MCOC
Masks for next generation lithographies present difficult technical processing, challenges for meeting the demanding requirements of future lithography. The Next Generation Lithography Mask Center of Competency is applying the proximity x-ray mask technology developed by the IBM advanced mask facility to all major NGL technologies. Mask fabrication has been demonstrated for proximity x-ray, scattering and stencil electron beam projection, and extreme UV lithographies. The imaging layer for these mask technologies differ significantly from one another and yet present similar processing challenges. This paper discuses the process technology developed at the NGL-MCOC associated with patterning the absorber layers. Issues with chemically amplified resist coating and baking and absorber etching are identified and associated with observed image size variations. The difficulty in the aspect ratio of. the absorber drives much of the processing requirements for the different NGL formats. High-aspect ratios on stencil and proximity x-ray masks require more difficult etch processes than those on lower-aspect ratio absorbers.
Process scheme for removing buffer layer on multilayer for EUVL mask
Eiichi Hoshino, Taro Ogawa, Masashi Takahashi, et al.
In the fabrication of masks for EUVL, a combination of dry and wet etching was used to remove the SiO2 buffer layer. This technique greatly improves the pattern quality, yielding re-entrant shaped mask patterns with a steep SiO2 sidewall. Under proper conditions, etching results in the base of the sidewall being recessed around 5 nm from the edge of the Ta pattern. The strength of hydrofluoric acid (HF) solution was set to 3.3 percent to allow good control of the etching rate. A combination of dry and wet etching is an effective way to remove the SiO2 buffer layer because it can compensate for a variation of as much as 7.6 percent in the thickness of the SiO2 film before etching.
Critical defects in x-ray masks for 100-nm patterns
Hiroshi Watanabe, Yasuji Matsui
In order to estimate the sensitivity required for the next generation inspection system of x-ray mask, we have studied the defect printability by using the lithographic simulator Toolset. In the previous work, based on 10nm CD error, we reported the sensitivity of defect inspection for 100-nm L and S pattern to be at most 40nm. In this paper, for 100-nm isolated space, hole and L and S patterns and below 100-nm patterns, we have investigated, especially in the use of low contrast mask, the CD errors due to various defects such as adhesion of organic materials, dimension error, and clear and opaque defects. From the present study, it has been confirmed that organic defects are scarcely printed in X-ray lithography. It is found that Mask-error Enhancement Factors (MEF) are smaller than unity in most conditions for 100-nm patterns. The use of the low MEF conditions is effective method to minimize CD errors due to dimension error for isolated space and hole patterns. For L and S patterns, the mask CD accuracy of +/- 20-nm is good enough to obtain the CD error within +/- 10-nm. The critical defect sizes, which result in 10-nm CD error, are estimated to be at most 40-nm for clear and 23-nm for opaque defects in 100-nm patterns.
Graphite membrane applied for high-aspect-ratio microstructure fabrication
Hsiharng Yang, Min-Chieh Chou, Horng-Jey Wang, et al.
X-ray mask is the most important component in the x-ray micromachining. Absorber patterns have to form onto a working mask, then patterns can be transferred into the substrate. Graphite membrane has characters of low atomic number, electrical conductor, and rigid body suitable for a support diaphragm in a working mask.
Photomask Processes and Materials
icon_mobile_dropdown
Lithography performance of contact holes: I. Optimization of pattern fidelity using MPG and MPG-II
Suzanne Weaver, Maiying Lu, Jan M. Chabala, et al.
Proximity effects make optimizing the pattern fidelity of contact holes one of the most challenging lithographic tasks in maskmaking. This paper examines the exposure and process parameters that influence the pattern fidelity of contact holes on a photomask from both a modeling and an experimental approach. To optimize contact critical dimension (CD) uniformity and corner rounding, a range of exposure and process variables is examined. These variables include MEBES writing strategy, input address, spot size, development time, and data bias. ProBEAM/3D, an electron- beam modeling software program, is used to model contact hole performance, and the results are verified with a design of experiments protocol using the same variables as in the simulation study. A simultaneous optimization of these parameters is instructive in matching the appropriate writing strategy and technology node with the desired quality of the contact hole.
Lithography performance of contact holes: II. Simulation of the effects of reticle corner rounding on wafer print performance
Chris A. Mack, Charles A. Sauer, Suzanne Weaver, et al.
Pattern fidelity of contact features on the wafer is critical to the functionality of a device. Without good pattern fidelity of these features, interconnects between conductor layer may be unreliable, ultimately causing the device to fail. Among other error sources, the feature quality on the reticle is thought to be a key contributing factor to wafer contact pattern fidelity. In particular, the pattern generation tool used to exposure the reticle may be selected based on the maximum acceptable amount of corner rounding of a contact feature. Of course, optimization of contact layers is dependent on the exposure and process of both the mask and wafer, as well as on their relationship to one another. Understanding how reticle pattern fidelity affects the patterned image on the wafer helps to optimize both imaging processes. This paper examines the key parameters that contribute to wafer contact thole pattern fidelity through simulation. The impact of reticle corner rounding on the printed wafer result will be examined for conventional and attenuated phase shifting masks, dense and isolated contacts. Results from this work will be sued to predict the acceptable amount of corner rounding on the reticle, and to define a proper metric of reticle shape.
Proximity effect correction for reticle fabrication
Masao Sugiyama, Shinji Kubo, Koji Hiruta, et al.
As the LSI pattern density increases, the minimum feature size on reticle decreases and the required dimensional accuracy becomes more severe. To write patterns for 130nm- node device, the proximity effect correction is essential for electron beam mask writing system to obtain enough CD accuracy. We optimized the proximity effect correction parameters in EB mask writer, and the evaluated results are presented.
Fogging effect compensation technique for photomask making
Yuji Nozaki, Toshiyuki Tanaka, Katsuhiro Takushima, et al.
We investigated a new method for decrease of CD disparity due to fogging effect at photomask making, utilizing side- wall-angle-dependence of CD loss through descum process. We demonstrated this method could be valid for less than half- micron. This method can be effective on condition of anisotropic descum, no 'foot' at post-descum, and just- or under-development. Using this method, we obtained CD uniformity of 18.6 over fogging and non-fogging field, including process error.
Dose latitude dependency on resist contrast in e-beam mask lithography
In mask-making process with e-beam lithography, the process capability is usually affected by exposure profile, resist contrast and development process. Dose latitude depends significantly on these three parameters. In this work, dose latitude between different resist contrasts has been experimentally studied as a function of linewidth, dose, beam size and over development magnitude using commercial PBS and ZEP 7000 resist on a photomask with 10 keV exposure. It has been found that ZEP 7000 resist with high contrast shows lower dose latitude, more sensitivity to the variation of linewidth, dose and beam size except for over development magnitude due to its relatively longer development time.
Improvement of Cr dry etching characteristics with the MERIE system
Hitoshi Handa, Satoshi Yamauchi, Hisatsugu Shirai
Dry etching characteristics of Cr films were investigated and some improvements have ben done with magnetically enhanced reactive ion etching (MERIE) system. Clear field patterns and ark field ones exposed on thin EB resists, whose thickness was less than 300 nm, were etched. Although there had been some difficulties in etching of clear-field pattens with SAL-601, these situations were much improved with an appropriate etching condition of magnetic field. It was found that magnetic field intensity affected Cr etching distributions very much. In marked contrast to the above results, MFI condition showed little contributions to the etching distribution of dark field patterns exposed on ZEP- 7000. It was shown that some waveforms of magnetic field could be effective to improve the etching characteristics for the plate whose etching area was extremely small. Etching characteristics for these extremely varied Cr-loaded are considered through the above etching results. Discussions about more useful dry etching process with MERIE system are also described.
Evaluation of loading effect of NLD dry etching
Takayuki Iwamatsu, Tatsuya Fujisawa, Koji Hiruta, et al.
Recently, loading effect is becoming a great issue in mask dry etching process. It is well known that the effect is affected by pattern density. To improve the issue, an advanced mask dry etching system using neutral loop discharge was applied for next generation mask fabrication, because the tool make is possible to get high plasma density and low gas pressure.
150-nm mask fabrication using thin ZEP 7000 resist, GHOST, and dry etch for the MEBES 5000 pattern generator
Myung Yong Kim, Jong-Hwa Lee, Young Jin Yoon, et al.
Advanced reticle specifications for critical dimension control (CD) and CD linearity of 150 nm generation devices requires significant improvements to the mask making process. ZEP 7000 is an e-beam resist that exhibits good contrast properties and acceptable dry etch resistance while maintaining superior lithographic quality. In this paper, an advanced process utilizing thin ZEP 7000 resist and ICP will be described. The combination of these two unit processes describes a factor space in mask making that is acceptable for the production of masks that meet the 150 nm ITRS roadmap requirements.
Spray developer for ZEP 7000
Atsushi Kawata, Kakuei Ozawa, Nobunori Abe, et al.
ZEP7000 has been successfully used as EB resist for high-end photomask manufacturing where high dry etching resistance, high sensitivity and high resolution are required. ZED-500 is the common developer use din spray development process and ZED-750 for puddle development process. These developers are designed to suite particularly for dry etching pattern profile. In this paper, we introduce a new developer, ZED- 450 which performs equivalent to ZED-500 while requiring either lower dosage or shorter development time in spray development process.
Improvement of ZEP process for advanced mask fabrication
Yasuyuki Kushida, Youichi Usui, Hisatsugu Shirai
In mask making, ZEP 7000 resist process with MEBES writing tool is widely adopted to produce advanced masks. This time, we tried to improve resist pattern CD uniformity in ZEP process using our special techniques. Resist sensitivity uniformity of mask blank is one of the most significant parameters for resist pattern CD uniformity. In ZEP7000 coating process, our original cooling method waw adopted in consideration of its resist sensitivity properties. Resist film thickness loss (RTL) uniformity during development was examined in order to analyze the resists sensitivity uniformity within a mask blank. It was clearly seen that resist pattern CD uniformity was 15nm with the optimum cooling condition. RTL uniformity and resist pattern CD uniformity were also examined using blanks which were commercially available from two vendors. And these results were compared with the results of our original cooling method. Based on the results of our study, we confirmed that our original cooling method was very effective for improvement of resist pattern CD uniformity on ZEP process.
CAR blanks feasibility study results
Yasunori Yokoya, Hideo Kobayashi, Masahiro Hashimoto, et al.
The rise of a high acceleration voltage E-beam exposure tool has created a growing need for a chemically amplified resist system with high sensitivity, high contrast, superior resolution, superior PCD and PED stability. While mask- makers have been procuring resist coated blanks, it is generally considered that CARs must be spun on just prior to exposure due to its very short life after coating. However, it is general intention in the industry to stay in the same manner even with CARs for the next generation, which is the mission of blanks supplier also. In order to study feasibility for CARs coated blanks supply, we started screening CARs that were commercially available at present by patterning evaluation especially for PCD stability. We first tried to establish PSB and PEB optimization technique for CARs by using a benchmark resist of RE-5153P. We also tried to establish a stress tests for PCD stability by using NH3 gas and dry-N2 gas mixture. Then, we did comparative evaluation in patterning performance such as sensitivity, contrast, resolution, process latitude, PED and PCD stability among RE-5153P, EP-009, TLE-011, UVIIHS and others. ZEP7000 was also examined as another benchmark, which was the most popular resist at present for advanced EB reticle fabrication in the industry. This paper describes chemically amplified resist feasibility study result especially for blanks supply for the next generation e-beam reticle fabrication.
Improvement of the resolution and accuracy of chemical-amplification positive resist for 0.13-um reticle fabrication
Tadashi Arai, Toshio Sakamizu, Kei Kasuya, et al.
We have developed a novolak-based chemical-amplification resist for 0.13-micrometers or later reticle fabrication. For the 0.13-micrometers or later design-rule reticle-fabrication with OPC patterns, the resist resolution is required under 0.2-micrometers on the mask substrate. To improve the chemical-amplification resist resolution, it is necessary to control the acid- diffusion in the resist film. We have developed the technique of the acid-diffusion control with neutral-salt additives. By use of the resist with this technique, we could fabricate 0.14-micrometers 1/s patterns on a CrOx substrate at a dose of 9.3-(mu) C/cm2. The resist has a good margin of doses.
High-contrast i-line positive photoresist for laser reticle writer
Yoshihito Kobayashi, Y. Oppata, Y. Ezoe, et al.
Lately laser writing tools have been dominated for producing leading-edge reticles. In addition, high resolution resist adjusted to the writing tool is strongly required to enhance the mask-making process capability. Here we have developed an i-line positive photoresist named 'THMR M100'. The most significant feature of the resists is higher contrast that can bring us high-resolution patterns as well as better CD accuracy. We realized 0.4 micron or less patterns were able to be formed with its high-contrast process in combination with ALTA tool. In fact the separate resolution was 0.3 micron. Furthermore, almost vertical side-wall angle of the developed resist was also achieved. We will deliver the excellent performance for fabricating the high-end reticles that this newly developed resist indicated.
Pellicle degradation and its effect on surrounding environment in ArF lithography
Junji Miyazaki, Takashi Okagawa, Keisuke Nakazawa, et al.
We investigated pellicle degradation during ArF laser irradiation and its effect on a substrate. The chemical structure of the pellicle film was not changed but its molecular weight decreased. F and C were observed on the substrate surface after irradiation. The source of the contamination was apparently film evaporation caused by ArF laser irradiation. Further experiments under conventional conditions, not accelerated conditions, will be necessary.
Inspection and Repair
icon_mobile_dropdown
Structural and thickness distribution evaluation of a multilayer photomask blank with x-ray reflectivity method
Teruyoshi Hirano, Hiroshi Wada, Masao Otaki, et al.
The film thickness estimation is one of most important subject for the design up of photomask blank. TO decrease the producing cost of silicon semiconductor chips, control photomask specifications and evaluate thickness technologies are the key technology. The grazing-incidence x-ray reflectivity method is very useful in order to measure thickness, density and interface roughness of photomask blank. In this paper, we report the adaption of the x-ray reflectivity technology to photomask evaluation and prepare a thickness distribution map of mono- and multi-layer photomask blank. A Zrsi oxide thin photomask blanks were prepared with DC sputtering method. The x-ray reflectivities of those photomask thicknesses maps of the photomask blanks were calculated with RIGAKU $qq program. The $qq calculations require model structures of the mono- and double-layer photomask blank. We estimated model structures of the films for better fitting between measured and calculated $qq results. In the thickness distribution maps, the thickness were evaluated in Angstrom accuracy and a thickness difference between measurement positions were detected. The x-ray reflectivity method is a kind of high accuracy evaluation method is a kind of high accuracy evaluation method of photomask blank thickness distribution map.
Halftone PSM inspection sensitivity of OPC line/space pattern for 150-nm generation
Chun-Hung Wu, Jackie Cheng, David Wang, et al.
The process of manufacturing and inspecting 150nm generation reticles, incorporating RETs - Resolution Enhancement Technologies - is discussed. Some of the RETs applied at the lithography stage while exposing the wafer, such as OAI - Off Axis Illumination, others RET are being incorporated into the reticle, such as OPC - Optical Proximity Correction - and PSM - Phase Shift is discussed. Many relevant aspects are discussed in this paper such as the ability to produce those critical layers while keeping good CD linearity, and the ability to detect OPC related defects with current reticle inspection technology.
Impact of pattern proximity correction on die-to-database mask inspection
Anja Rosenbusch, Vicky Bailey, Yair Eran, et al.
While the semiconductor industry is following a very aggressive roadmap without a corresponding reduction in exposure wavelength, the role of resolution enhancement techniques like PSM and OPC is becoming more and more important. Mask making for these advanced techniques is one of the most crucial parts in making these techniques work. Mask inspection is one of the major challenges in the mask making process, as it is one of the most performance critical steps in the entire mask making process. Especially contact or OPC patterns show difficulties in die-to-database inspection as the CAD data asks for square corners. LPC is a mask enhancement technique improving image quality and CD linearity for laser pattern generators. The paper present the impact of Laser Proximity Correction on contact and line patterns of 0.18 micrometers generation. The LBM is used to characterize Cd uniformity improvement of the entire plate.
150-nm DR contact holes die-to-database inspection
Shen Chung Kuo, Clare Wu, Yair Eran, et al.
Using a failure analysis-driven yield enhancements concept, based on an optimization of the mask manufacturing process and UV reticle inspection is studied and shown to improve the contact layer quality. This is achieved by relating various manufacturing processes to very fine tuned contact defect detection. In this way, selecting an optimized manufacturing process with fine-tuned inspection setup is achieved in a controlled manner. This paper presents a study, performed on a specially designed test reticle, which simulates production contact layers of design rule 250nm, 180nm and 150nm. This paper focuses on the use of advanced UV reticle inspection techniques as part of the process optimization cycle. Current inspection equipment uses traditional and insufficient methods of small contact-hole inspection and review.
New approach to mask and wafer performance optimization for system-on-a-chip (SOC) devices
Gidon Gottlib, Yair Eran, Shirley Hemar, et al.
Detection of reticle CD errors appears to be one of the most critical challenges for low-k1 lithography, where CD accuracy, as mean-to-normal and mask error factor determine most of wafer CD budget. Measurements of reticle CDs are always a difficult process, as the mask manufacturer need to know the critical areas on the masks where he has to execute the measurements. This information is not generally available and if it is available, the number of measurements can be extremely large, in particular for system-on-a-chip devices with multiple critical number of measurements can be extremely large, in particular for system-on-a-chip devices with multiple critical areas resulting from the multiple electrical functions located on a chip. For these reasons, it becomes extremely interesting to execute the reticle CD metrology in a 'two-step' approach: first, detection of 'global' CD errors during the reticle inspection, followed by the second step, where the CD measurements will be executed only in those areas where the global CD error algorithm has detected errors large enough to affect wafer CD control. In this way, the among of CD measurements will be reduced to a manageable number and more important, measurements will be executed only in those area that have large errors. However, there is one critical concern in this approach, that is the capability of the 'global CD error' algorithm to accurately detect CD errors in areas with various chrome density as well as to detect CD errors of minimum 20 to 30nm which represent the specification of a good reticle for low-k1 lithography. In this paper, we report on a layout design with programmed CD errors and on the first result of applying the global CD error algorithm to detect these errors. The layout with programmed CD errors, is a multi-die per reticle case with several levels of CD errors, from global shifts in mean CD, to errors programed in a regular or in a random array inside the reticle active area. This design stresses the measurement algorithm as the programmed CD errors are combined with chrome density varying across the die, by a factor of 1 to 2.5X. One of the useful features of the proposed algorithm, detection of large CD fingerprint errors on the reticle, has been demonstrated in this work.
Characteristics of CD measurement equipment
Shrinking device design rule, lithography requires more rigid CD accuracy on a mask. In most cases, cross sectional profiles are not uniformed on a chromium mask. Cross sectional profiles influence not only CD value but also aerial image on wafer. Suitable CD value for lithography is the same influenced one as aerial image. Therefore we studied this influence, and evaluated which CD value is suitable for lithography. As our results, CD result of DUV microscope is most suitable for 0.13 and 0.15 micrometers lithography.
End of thresholds: subwavelength optical linewidth measurement using the flux-area technique
The patented Flux-Area technique of metrology with optical images has been proven to provide accurately and repeatable measurements of defect sizes as small as 0.08 (mu) , and is in use in 12 leading edge mask shops and wafer fabs around the world. This paper describes the extension of this technique for linewidth measurement and the result of test on photomasks, using lines as narrow as 0.25 micrometers . Linewidths were measured with SEM and optical images analyzed with the Flux-Area technique. Results show that the new technique provides linear measurements on even the smallest lines, using visible as well as UV illumination. This technique promises to allow mask makers to continue measuring their masks optically, even as linewidths shrink much smaller than the optical wavelengths used in the measurement. Further, this technique allows older visible light system to be used for measuring even DUV masks. Finally, this technique does not require thresholds: it only requires an image and that the optical magnification be known. The technique simply measures the amount of light absorbed by a feature, the fundamental optical quality of any photomask feature.
Advanced Patterning Tools
icon_mobile_dropdown
Optical column of the mask-scan EB mask writer test stand
Naoharu Shimomura, Munehiro Ogasawara, Jun Takamatsu, et al.
A deficiency in throughput is one of the main problems for the post-100 nm generation mask writer. Mask-scan writing technology is one of the methods for increasing in the throughput. A large pattern is projected by scanning the electron beam over the mask pattern. We have developed a low aberration optical column to prove the concept of the mask- scan technology. We obtained the EB mask pattern image by scanning the electron beam over the mask. We confirmed the capability of the astigmatism correction by the bias voltage superposed on the main field deflectors.
New-concept i-line stepper for mask fabrication
Nobuyuki Irie, Koji Muramatsu, Yuuki Ishii, et al.
We have developed a new reticle exposure system, which can fabricate 150nm generation masks by means of a stitching exposure technique. We call this exposure system the Photomask Repeater, or high accuracy repeater (HR). HR is a modified i-line stepper for mask manufacturing with a field size of 22 by 22 mm in a single exposure. However, the device size on a 4x mask is larger than 22 by 22 mm. Furthermore the improvement in mask CD uniformity is required. For this purpose the exposure field size was extended with the use of 'seamless stitching technology'. This is the key to obtaining a feasible exposure system with the use of this method. Results have been achieved with this system showing CD variation of less than +/- 5 nm across a 1D seam band by means of a 'gradation filter'. Moreover, overall Cd uniformity is less than 13nm, while image placement accuracy is less than 24nm. HR is an attractive system for SoC mask manufacturing, and is also effective in reducing TAT.
ALTA 3700: extending the application space of the ALTA 3500 laser reticle writer
Cris G. Morgante, Henry Chris Hamaker
Critical dimension (CD) uniformity, stripe butting, and composite overlay results from incremental improvements in critical subsystems on the ALTA 3500 have been previously reported. Integrating those optimized subsystems with another major subsystem improvement in the recently introduced ALTA 3700 system has allowed laser reticle writers to address the needs of maskmakers in the 180 nm and 150 nm technology nodes. This paper presents the results of a redesigned acousto-optic modulator that addresses thermal- induced beam intensity variations, which have the potential to induce CD uniformity errors. In addition, studies on print-quality performance and throughput on masks that are representative of a true product show that the integrated performance of the ALTA 3700 system extends the performance of ALTA 3500 system.
Results from a new laser pattern generator for 180-nm photomasks
Tomas Vikholm, Lars Kjellberg, Per Askebjer, et al.
180 nm photomasks require resolution and CD control that is normally not available from laser based systems. This paper describes the new Micronic Omega6000 laser pattern generator targeted at 180 nm as well as results from the system. The Omega6000 uses an architecture based on acousto-optics that improves the CD control. A 0.86 NA lens results in a high resolution. A dose modulation method provides a 5 nm address grid using single pass writing. The system incorporates a multi-processor data path designed to handle complex 180 nm patterns without reducing the writing speed. The data path is scalable which allows expansion with increasing data complexity.
PSM and OPC Masks
icon_mobile_dropdown
Development of a fast linewidth correction system
Ryuji Takenouchi, Isao Ashida, Hiroichi Kawahira
A fast line width correction system which has significantly small increase in the processing time by adding new correction rules for improving correction accuracy has been developed. This system corrects only patterns which have edges with 45 X n degree angles, thereby, these patterns are operated at high speed by the scan line method known as a fast algorithm for geometrical operations. Also, angled patterns can be further simply corrected than that with the conventional system. The system is operated on a clustering system at high performance using multiple machines such as middle class PC-UNIX. As a result, total elapsed processing time for the gate layer with around 150 M gates 0.18 micrometers logic with embedded DRAM was improved by a factor of 9.3 with this system in comparison to that with a conventional system. In this paper, details of the system design and application for actual 0.18 micrometers devices will be further discussed.
Mask pattern correction for an advanced device
Yoshimasa Watanabe, Masahiko Minemura, Kazuhiko Takahashi, et al.
By generating supplementary patterns for EB data and using a system that corrects patten line widths, we improved the shape of a pattern formed on a photomask and the CD linearity. For the EB lithography system, trapezoidal and hammerhead supplementary patterns were applied in order to suppress the increase in EB data volume. As a result, it became possible to reduce the supplementary patterns generated to about 60 percent of the existing serif supplementary patterns. The formed pattern shapes were also equivalent. Since the laser lithography system requires bigger correction pattern shapes than the EB lithography system, triangle supplementary patterns were used. As a result, the corner round was improved with the number of patterns equivalent to that of existing rectangle supplementary patterns. For the CD-linearity, the CD correction amount was set for each line width from the experiment result. For 5 micrometers to 0.7 micrometers patterns on a photomask, a CD-linearity could be achieved within 40nm. We developed the system with above method, when the system is applied to 0.18 micrometers logic contact holes, the elapse time is 1.4 hours and the EB data file size is for 2.5 to 10.8 times the number of original patterns. We judged that it was in the practical level.
Effective OPC pattern generation using chemically amplified resist for 0.13-um design rule masks
Il-Ho Lee, Kyung-Han Nam, Hong-Seok Kim
We investigated the printability of various OPC patterns with different sizes and densities for mask technology below 0.13 micrometers design rule using CAR and 50kV e-beam system. Because of high resolution characteristics of CAR process with high acceleration voltage system, we obtained OPC printability of 0.12 micrometers even in scattering bar type and excellent pattern fidelity. How to design to get required OPC pattern, design guide was considered in this work and discussed the applicability of CAR process to practical manufacturing of OPC masks of 0.13 micrometers design rule or less.
Inspection and Repair
icon_mobile_dropdown
Foundry maskshop operation strategy and management
Chue-San Yoo, John C.H. Lin, Jia-Jing Wang
To meet the ever-increasing demands from wafer fabs, a foundry maskshop is required to have an effective operation and management strategy so that its potential strengths are not diluted as it grows. Wafer foundry capacity increase could mean both increases in output capacity and the number of operation sites. In this paper, we will discuss the potential strengths of a foundry maskshop, strategy and management of it so as to embrace the challenges of the ever increasing demands from wafer foundry fabs in terms of technology, capacity and cycle time.
Assessing polylayer performance of UV defect detection systems
James A. Reynolds
Integrated circuit (IC) production is dependent on the ability of semiconductor manufacturers to obtain reticles which are free of printable defects. One key step in making such reticles is defect inspection. This process has two steps; locating reticle defects with an inspection system and classifying them accurately. Both techniques have been in place for many years, but the ability to assess the combined reliability of the scanning and classification procedures has not because of the pattern simplicity and defect predictability in most test reticles. To better evaluate the accuracy of scanning and classification, a new test reticle was designed with the line-widths, the complex geometries and the look-and-feel of a real-world, state-of- the-art, poly layer reticle. Programmed defects were place at varying locations in the native pattern to emulate more closely production reticle inspection. This poly defect test reticle was inspection in state-of-the-art mask facilities using three different advanced ultra violet reticle defect inspection systems and then classified by experienced personnel. The observed classification accuracy was high but inconclusive. The most significant result from this work was that there are large differences in defect scanning sensitivity between the three systems checked.
Newly developed mask inspection system with DUV laser illumination
Katsuki Oohashi, Hiromu Inoue, Takehiko Nomura, et al.
A new mask inspection system for 150nm and 130nm semiconductor devices which utilizes a DUV laser of 257nm wavelength for an inspection illumination has been developed. A newly developed optical phase shift disk cancels the speckle nose caused by the high coherency of a laser. The phase shift disk has micro pits with different depth disposed randomly over the entire plate surface. The speckle pattern changes randomly by rotating the plate, and averaging pattern image by TDI sensor cancels the speckle noise of the laser illumination. Using this method, inspection of masks was realized at DUV wavelength.
Reticle inspection system using DUV wavelength and new alogorithm platform for advanced reticle inspection for 0.13-um technology node
David S. Alles, Paul Terbeek, Shauh-Teh Juang, et al.
KLA-Tencor has developed a fourth-generation reticle inspection system, the KLA-Tencor 570, using DUV imaging to detect 100-nm defects on advanced OPC and PSM reticles for the 0.13 micron technology node production and 0.10 micron technology development. This system contains innovations in optics, electronics, mechanics, algorithms, and software. The DUV wavelength of the optical system improves the resolution and thus the minimum linewidth capability. A low- noise optical system generates high-quality images to improve productivity, facilitate defect classification, and reduce operator errors. The United Inspection Computer (UIC), a high-speed scalable computational engine, renders database data to create an image of the mask for die-to- database inspections. The UIC uses the new Ultra-Performance Algorithm, which provides high detection sensitivity at high speed for D:D and D:DB inspections. UPA can handle complex structures such as advanced OPC and phase shift mask. TI places no fundamental requirement on the size of OPC features. UPA classified defects automatically. The operator can select sensitivity settings independently for each defect type. Since UPA measures the CD of every feature on the reticle, it can also make total plate quality measurements for statistical process control of mask manufacturing. A new reticle handling system reduces overhead time and allows easy loading and unloading of the reticle. This system manipulates 6-inch and 230-mm reticles with and without pellicles. A flexible adapter design allows the system to mix different reticle sizes. A new data preparation system executes on a multi-processor computer with a 64-bit operating system to minimize data preparation time. This DPS architecture allows the operator to change various inspection parameters such as pixel size, alignment points, DNIR's and rotation without the need for re-prep, thereby improving productivity and flexibility. The KLA- Tencor 570 also allows optional remote Data Prep. Test results indicate that the KLA-Tencor 570 already meets its sensitivity specifications on a Verimask VT 690 in both die- to-die and die-to-database modes.
Advanced FIB mask repair technology in ArF lithography
Koji Hiruta, Shinji Kubo, Hiroaki Morimoto, et al.
New ion beam column was used for mask repair. The ion irradiation was 15pA for the probe current and 31nm for the pixel size. The imaging damage was evaluated from the optical intensity value with MSM193. Optical intensity have the change within 5 percent in case of the repetition image in scanning until five times. The carbon film was formed with a new hydrocarbon gas which change into the pyrene. It is a film that the halo is small and the optical density is about three times higher. The durability to the ArF laser of the carbon film was done by method of measuring the transmittance with MPM193. The carbon film has the durability that exchange in the transmittance is within 0.3 percent by ArF laser irradiation of 30KJ cm-2. The program defects formed to the L and S pattern was repaired by these new conditions. The repaired pattern was printed with ArF scanner on the wafer. The reported pattern was not transferred defect on the wafer.
Masks for 157-nm Lithography
icon_mobile_dropdown
Mask roadmap, mask technology trend, critical issues, and activities of International SEMATECH
Wallace R. Carpenter
It is well known that the semiconductor industry continues to drive performance improvements through lithography resolution development. Further the International Technology Roadmap for Semiconductors timing continues to be driven aggressively resulting in less inherent lithography resolution advantage against the desired linewidth. The effect has been to require significantly tightened photomask specifications with aggressive timing constraints. Mask Error Enhancement Factors and wavelength choices are driving a need for multiple options for the photomask end user, which include Attenuated and Alternating Phase Shifting Masks. The compounded effect of the roadmap move-in results in extreme measures being needed to ensure the photomask infrastructure will be ready for these demands. This paper will review the changes and trends, which have occurred in the ITRS and their compounded impact to the photomask industry. Critical issues will be identified and addressed at a photomask industry level. Finally, an overview of International SEMATECH's roadmap will focus on key critical issues will be shared with the photomask industry.
Current status of 157-nm mask technology development
Giang T. Dao, Ronald Kuse, Kevin J. Orvek, et al.
157-nm lithography has gained significant momentum and worldwide support as the post-193 nm technology. Due to higher absorption at shorter wavelength, however, there are several critical issues including materials and reticle handling at 157-nm. These key technical areas are being studied at Intel in collaboration with worldwide industrial and academic partners. In this paper, we will report the progress on 157-nm specific mask technology development.
New modified silica glass for 157-nm lithography
Yoshiaki Ikuta, Shinya Kikugawa, T. Kawahara, et al.
Projection photolithography at 157 nm is now under research as a possible extension of current 248 and planned 193 nm technologies. We have succeeded in the development of the modified fused silica glass 'AQF' for 157 nm lithography. In this paper, we present the performance of the newest material; AQF/Ver.2.1. Transmission and its uniformity at 157 nm is better than 78 +/- 1.5 percent, and birefringence is within 2 nm. We also have developed hard pellicle with 300 micrometers thickness. Its transmission is over 92 percent when AR films are coated on both surfaces. This hard pellicle also has a very good durability to F2 laser.
Pellicle for F2 laser lithography
Toru Shirasaki, Meguru Kashida
The two possible 157nm-pellicle membrane materials are discussed. Currently polymers have considerable absorption at 157nm. The F2 laser durability evaluation was carried out for the polymer with the least absorption. The polymer membrane suffered severe damage after short irradiation. What is the most important for the polymer membrane is to reduce the absorption at 157nm in order to increase the transmission and to improve the durability. Modified fused silica is the material for mask substrate for F2 laser lithography. This fused silica shows good laser durability, but stringent tolerances are required when the fused silica plate is applied for pellicle membrane. Thickness uniformity and bending of plate are discussed.
Advanced Patterning Tools
icon_mobile_dropdown
Elements of an advanced pattern generator for 130- to 100-nm maskmaking
Varoujan Chakarian, Charles A. Sauer, Bassam Shamoun, et al.
In response to next-generation mask requirements, Etec Systems, Inc has developed a complete raster-based patterning solution to meet the production needs of the 130 nm IC device generation as well as those for early 100 nm production. In developing this new MEBES system, we have aimed at versatility, extendability, and compatibility with conventional high-contrast resists and redesigned it form the ground up. This MEBES system incorporates many technological innovations, such as anew 50 kV electron-beam (e-beam) column, a new raster graybeam writing strategy, a new stage, an integrated automated material handling system, on-board diagnostics, and environmental/thermal control. A discussion of architectural details of the new MEBES system designed to meet the tight requirements of 130-100 nm technology nodes is presented. This comprehensive patterning solution offers the best combination of benefits to the user in terms of versatility, overall system throughput, and extendability. Initial throughput and lithographic performance benchmarks are also presented and are very promising in predicting the ability to meet critical dimension uniformity requirements of 10nm or better, as predicted by the ITRS requirements.
Advanced e-beam reticle writing system for next-generation reticle fabrication
Tetsuji Nakahara, Kazui Mizuno, Suyo Asai, et al.
In this paper, the key features and results of the new advanced electron beam reticle writing system HL-900M are shown. This new system, based on HL-800M technology, has been improved form the both sides of hardware and software with introducing some new technologies. The new electron beam optical column has been introduced to keep enough stability against environmental fluctuations. X-Y stage mechanism and high precision temperature control system have been refreshed to promise highly positioning accuracy. Parallel processing exposure function makes throughput improved even with handling the huge amount of data, such as over 20 Gbytes in the standard specification system. As some results of evaluation test, the critical dimension accuracy of 12 nm, the pattern positioning accuracy of 25 nm and the stitching accuracy of 15 nm were obtained. The total performance of this system is satisfied with the specification of the 0.18-0.15 micrometers node reticles on production levels and development of 0.13 micrometers node reticles.
New photomask pattern generation method based on i-line stepper
Suigen Kyoh, Shun-Ichiro Tanaka, Soichi Inoue, et al.
New pattern generation system, Photomask Repeater, based on i-line stepper has been developed. This system can transfer device patterns from master masks onto a photomask plate with 22mm field size. To print a chip larger than the 22mm field, stitching technology has been developed. Critical dimension error in the region where fields are stitched is the key issue of this technology. Quantification of critical dimension deviation induced by field misplacement was carried out by calculation. Introducing exposure dose gradation, it was reduced less than 1.5nm. From measurements of a real exposed mask this technique proved to be able to stitch fields seamlessly. Major two specifications, pattern placement accuracy and critical dimension uniformity, were evaluated. Both specifications required for 150nm photomasks were fully satisfied. Availability of the photomask repeater to memory device and system on chip is discussed.
PSM and OPC Masks
icon_mobile_dropdown
Phase defects on DUV alternating PSMs
Ikuo Yoneda, Hideki Kanai, Shinji Yamaguchi, et al.
To extend the life of photolithography, it has been proceeded the development of the strong PSMs which has no printing 'phase shifter' defects. At PMJ '98 a defect inspection algorithm for phase shifter defects of 60 degrees on i-line multi-phase alternating PSMs was discussed. At BACUS '99, a defect printability and inspection sensitivity of multi-phase shifter defect for KrF exposure had also discussed. It was reported that the inspection tool combing \9MD84SR and STARlight had enough sensitivity for quartz bump defect that caused +/- 10 percent CD-error on 150nm L and S pattern. But, the delay of ArF exposure tool and process required DUV low-k1-lithography for next generation devices. And the, we tried to evaluate defects printability and inspection sensitivity for Logic-Gate pattern mask, that lien width is narrower than the line width evaluated by precede researchers.
Development of halftone phase-shift blank and mask fabrication for ArF lithography
Hideki Suda, Hideaki Mitsui, Osamu Nozawa, et al.
The halftone phase-shift mask has been in practical use for i-line and KrF lithography. In ArF lithography, the HtPSM is also considered to be a promising resolution enhancement technique for its simple structure and fabrication process required. We in HOYA have attempted to expand the applicability of our MoSi-based HtPSM blank technology to ArF lithography, helping extend the life of the existing infrastructure for conventional HtPSM fabrication. We have completed tuning our new MoSi-based film for ArF application. The film's optical properties, chemical durability and ArF laser irradiation durability meet industry requirements; and it is compatible with conventional mask-making process and repair techniques for the KrF HtPSM.
CrOxFy as a material for attenuated phase-shift masks in ArF lithography
Keisuke Nakazawa, Takahiro Matsuo, Toshio Onodera, et al.
We investigated the durability of CrOxFy film, which is used as a shifter for attenuated phase-shift masks (Att- PSMs), under ArF excimer laser irradiation. The phase shift of an as-deposited film decreased and the transmittance increased due to the disappearance of interfaces, which was caused by the migration of atoms. To improve durability, the sample was annealed at 300 degrees C to remove the interfaces, and the surface was etched to recover the decreased transmittance caused by the annealing. As a result, the lifetime became 1.5 years, which is sufficient for practical devices. The depth-of-focus of an ArF photoresist was 1.2 micrometers for a 0.13 micrometers line-and-space pattern and 0.5 micrometers for an 0.13 micrometers isolated contact- hole pattern when Att-PSMs made from CrOxFy film were used.
Gate CD control for full chip using total-process-proximity-based correction method
Byung-Ho Nam, Jong O Park, Dai Jong Lee, et al.
In this study, we investigated mask errors, photo errors with attenuated phase shift mask and off-axis illumination, and etch errors in dry etch condition. We propose that total process proximity correction (TPPC), a concept merging every step error correction, is essential in lithography process when minimum critical dimension (CD) smaller than the wavelength of radiation. A correction rule table was experimentally obtained applying TPPC concept. Process capability of controlling gate CD in DRAM fabrication should be improved by this method.
Integration of optical proximity correction strategies in strong phase-shifter design for polygate layers
In this paper, we discuss some of the problems encountered when implementing 2-mask strong phase shifter designs for the poly gate level in logic designs. Experimental results are presented showing pattern fidelity for different reticle designs. Simulations are presented indicating the improvement in pattern fidelity that can be expected from using OPC. PSM assignment and model-based OPC correction are performed by the Calibre-OPC tool from Mentor Graphics. In conclusion we show that while fairly simple design can be used to achieve 250nm design rules, in order to achieve both pattern fidelity as well as small feature size it is necessary to use OPC to correct for pattern distortion for design rules of 180nm and below.
Lithography Performance Evaluation and MEF Analysis
icon_mobile_dropdown
Resolution enhancement techniques and mask manufacturability for subwavelength lithography
This paper analyzes two resolution enhancement techniques: alternating PSM and sub-resolution assists from the point of view of their applicability to manufacturing of integrated circuits of 130 nm a d below.
Inspection and Repair
icon_mobile_dropdown
Next-generation lithography mask inspection
Noah Bareket, Steve Biellak, Donald W. Pettibone, et al.
KLA-Tencor and industry partners are collaborating on a project for developing early capabilities of inspecting NGL masks. The project, partially funded by NIST as part of the ATP program, is focusing on building a research tool that will provide experimental data for development of a production capable tool. Some of the key technical issues include contrast in transmission and reflection, defect sources and types, and maintaining mask cleanliness in the absence of pellicles. The masks need to be inspected at multiple process stages, starting with unpatterned substrates, and ending with the pattern inspection. System issues include defect sensitivity and inspection time, which need to be balanced.