Proceedings Volume 3892

Device and Process Technologies for MEMS and Microelectronics

Kevin H. Chau, Sima Dimitrijev
cover
Proceedings Volume 3892

Device and Process Technologies for MEMS and Microelectronics

Kevin H. Chau, Sima Dimitrijev
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 1 October 1999
Contents: 6 Sessions, 36 Papers, 0 Presentations
Conference: Asia Pacific Symposium on Microelectronics and MEMS 1999
Volume Number: 3892

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Microactuators
  • MEMS Technologies
  • Poster Session
  • MEMS Technologies
  • Sensors and Photodevices
  • Photolithography
  • Other Process Issues
  • Poster Session
Microactuators
icon_mobile_dropdown
Multilayered piezoceramic microactuators formed by milling in the green state
Urban Simu, Stefan A. I. Johansson
Methods for the fabrication of piezoceramic microactuators that will be used in a miniaturized robot have been investigated. Multilayered structures for piezoceramic microactuators are usually fabricated by tape casting and lamination. The present structures are fabricated with a wet building process where thin layers of ceramic slurry and screen-printed electrodes are cast sequentially. The use of multilayered structures reduces the drive voltage to common levels for integrated circuits, but also result in difficulties forming complicated shapes. Dicing is a straightforward alternative to achieve a simple geometry, but it can not machine e.g. a hollow cylindrical structure. There are several methods that could be used, but only few that would be cost-efficient for large-scale production. One of these is milling with modern high-precision CNC machine tools. Conventional milling of sintered ceramic components would result in many problems since the material is rather brittle and cracks are easily formed. Cracks are detrimental to the mechanical properties as well as for the electrical properties. Milling in the ceramic green state appears to be a promising method, since microcracks does not arise. The relatively soft green body puts great demands on the milling process. A high speed of the spindle is necessary to achieve low cutting forces since sub-millimeter cutting tools are used. The paper present result from different milling test and discusses how the machining parameters affect the resulting shape. The resolution is comparable with other techniques and it can be concluded that it is sufficient for normal screen-printed multilayered components. The evaluation of resulting deformation of the material after milling and sintering is presented.
Lithographic definition of channel and void structures in multilayer PZT microactuators
Tobias Rosqvist, Stefan A. I. Johansson
We are investigating techniques to fabricate advanced microactuators cost efficiently and in particular to combine silicon microstructures with multilayer PZT microelements. Aiming at an increased freedom in design of multilayer PZT elements, various process steps to build channel and void structures in the actuator body are investigated. In a multilayer actuator, channels and voids can be used for transportation of fluids or to change transducer properties. A PZT green ceramic body is built with internal sacrificial structures defined using photolithography and patterned polymer foil and these structures are removed during binder burnout. In difference to conventional green tape lamination the technique used in the presented experiments, wet building, tends to planarize consecutive layers cast. This is particularly advantageous for high aspect ratio sacrificial structures. Results show that possible channel dimensions range from 10 micrometers up to above 200 micrometers . The lower channel dimension limitation is determined by the surface roughness of a cast green layer and the grain growth during sintering. No sagging of the channels is observed at the investigated channel dimensions. The mechanical and chemical stability of the sacrificial material during exposure to solvent and moderate heat is found to be important. The large scale manufacturing aspect of the investigated technique is also discussed.
Fabrication of thin film metallic glass and its application to microactuators
Seiichi Hata, Kaiji Sato, Akira Shimokohbe
Metallic glasses are kinds of amorphous alloys. They are free from defects resulting from crystalline structures. Metallic glasses soften in a certain temperature range called the supercooled liquid region, which makes metallic glasses easily be formed into a 3D shape. This paper first describes a fabrication method for a thin film metallic glass (TFMG) using RF magnetron sputtering. Secondly A micro beam of TFMG is introduced. Although the fabricated micro beams bent due to the internal stress caused by stress was related by annealing the beams in the supercooled liquid region, and straight beams were fabricated. Secondly, curved micro beams of TFMG were micro formed by heating the straight beams again into the supercooled liquid state. Finally, a new type electrostatic microactuator of a conical spring shape was made of TFMG. CSLA was capable of stepwise motion vertical to the substrate. The 10 micrometers step height and 30 micrometers total height were realized.
Integration of a microlens on a micro XY-stage
Che-Heung Kim, Yong-Kweon Kim
The precise position control of an optic element is a quite important technology and thus has many applications in modern optic system. This paper describes the optic system composed of a micro lens and positioning actuator. Two types of the micro Fresnel lens and the micro spherical lens are fabricated by using UV-lithography and reactive ion etching (RIE). The monolithic spherical lens is fabricated through the photo-thermal technique of photoresist. 3 mm-focal length with 246 micrometers -diameter Fresnel lens and 31 micrometers - focal length with 50 micrometers -diameter spherical lens are fabricated. The XY-stage is proposed as a control actuator, which is a 2-degree of freedom-stage structure with a pari of perpendicularly combined comb drive. The travel range of the micro XY-stage is measured 48 micrometers in each X and Y direction. The mechanical interference between X- and Y-axis is negligible. Integration process of these two parts is based on the concept of in-situ process using anodic bonding. This device is expected to be applicable for the fields of optical pickup, light beam aligning and optical signal sensing.
3D micromachined devices based on polyimide joint technology
Thorbjoern Ebefors, Johan Ulfstedt-Mattsson, Edvard Kaelvesten, et al.
A novel and simple technology for making robust 3D silicon structures with small radii of bending has been developed and investigated. The proposed self-assembling method of bending 3D structure out-of-plane, without the use of interlocking braces is based on thermal shrinkage of polyimide in V-grooves. The static bending angle for the permanent out-of-plane rotated structure can be chosen and well controlled over a wide range by varying the curing temperature of the polyimide and the number of V-grooves in the joint.
Two-dimensional microscanner actuated by PZT thin film
Wenmei Lin, Andreas Schroth, Sohei Matsumoto, et al.
This paper introduces the fabrication and characterization of 2D resonant microscanners actuated by sol-gel deposited PZT thin films. The piezoelectric PZT thin films with silicon micromachining technology provide the advantages of high scanning frequencies and low driving voltages. The actuation principle is based on the bimorph beam structure, which consists of an oxide layer and a piezoelectric PZT layer. The 2D scanning performance can be achieved by applying AC voltages with phase shifts at resonance frequencies to the actuating beams. The devices were fabricated through thin film depositions, lithography, dry plasma etching and ICP releasing process. The fabrication processes were improved considerably. A STS ICP system was used instead of KOH or other wet-chemical etching processes to prevent the damages of front side devices while the sample was etched through. For a scanner structure with a 300 X 300 micrometers 2 mirror plate, the first four resonance frequencies were measured to be in the range of 10-30 kHz. To investigate the vibration modes, the deflections on different locations of the mirror plate were measured. The 2D scanning angles were determined to be 8 degrees at 16.2 kHz in one direction and 11 degrees at 23.4 kHz in the perpendicular direction.
MEMS Technologies
icon_mobile_dropdown
Transferable silicon nitride microcavities
Kevin J. Winchester, Sue M.R. Spaargaren, John M. Dell
In the field of micro-electro-mechanical systems (MEMS), the ability to construct self supporting or suspended structures is essential for many devices. Well-established technologies exist for the fabrication of such structures, many of which rely on the deposition of SiNx layers. Such layers are usually grown by low-pressure chemical vapor deposition which requires high process temperatures. Lower process temperatures can be achieved through plasma enhanced chemical vapor deposition, however even these lower temperatures place a major restriction on the order in which processing can occur. For some semiconductor materials such as HgCdTe, this prohibits the straightforward use of such structures. A novel self-supporting SiNx membrane technology that can be applied to temperature sensitive semiconductor devices has been developed. In this technology the microcavity structure is constructed on a reusable GaAs substrate, from which it can be removed and bonded via van der Waals forces to a new substrate or device.
Poster Session
icon_mobile_dropdown
Dry release process of anhydrous HF gas-phase etching for the fabrication of a vibrating microgyroscope
A micro gyroscope, which vibrates in two orthogonal axes on the substrate plane, is designed and fabricated. Fabrication processes of the micro gyroscope are composed of anisotropic silicon etching by RIE, dry release by newly developed anhydrous HF gas-phase etching (GPE) of the buried sacrificial oxide layer, stress relief by multi-step annealing, metal electrode formation. The GPE process was verified as a very effective method for the release of compliant microstructures of micro gyroscope. The developed GPE system with anhydrous HF gas and CH3OH vapor was characterized and its etching properties were discussed. We successfully fabricated micro gyroscope with no virtually process-induced stiction and no residual products after GPE of TEOS, LTO, and thermal oxide on silicon substrates.
MEMS Technologies
icon_mobile_dropdown
Ultralow-stress silicon-rich nitride films for microstructure fabrication
MingCheng Cheng, Wei-Gei Ho, Chin Piao Chang, et al.
We have set up a LPCVD system enabling us to deposit ultra low stress single layer silicon-rich nitride film at high temperature with fast deposition rate for micro-structures fabrication. Silicon-rich nitride films deposited at high temperature have ultra low stress and are relatively independent of silicon and nitrogen containing gas-flow ratio during deposition. Deposition process parameters were optimized employing Taguchi method and no post deposition process is required to obtain low stress films. Detailed study of the effects of deposition parameters on film properties is also presented. The high temperature deposited ultra low stress silicon rich nitride film is resistant to all commonly used silicon anisotropic etchants, an ideal material for various micro-structures fabrication.
Drop-by-drop deposition of ceramic slurry for fabrication of PZT microstructures
Lena Klintberg, Greger Thornell, Stefan A. I. Johansson
Most of the techniques available for ceramic microprocessing, e.g. tape casting, sputtering and sol-gel processing suffer from lack of geometrical flexibility although they often allow for good thickness control. With the interest for ceramic material in MST, especially the piezoelectric lead zirconate titanate, often involving more complex geometries, development of complementary technologies seems motivated.
Direct writing of nickel by electrodeposition from various electrolytes
Greger Thornell, Anders Jansson, Stefan A. I. Johansson
Direct writing with the help of a sharp microelectrode in close vicinity of a conducting substrate in an aqueous metal salt electrolyte is possible by consistently adjusting the gap between the electrode and the growing structure to maintaining an applied voltage, or the resulting electric field.
Constructing biomolecular motor-powered hybrid NEMS devices
George D. Bachand, Carlo D. Montemagno
The recognition of many enzymes as nanoscale molecular motors has allowed for the potential creation of hybrid organic/inorganic nano-electro-mechanical (NEMS) devices. The long-range goal of this research is the integration of F1-ATPase with NEMS to produce useful nanoscale devices. A thermostable F1-ATPase coding sequence has been isolated, cloned, and engineered for high-level protein expression. Precise positioning, spacing, and orientation of single F1-ATPase molecules were achieved using patterned nickel arrays. An efficient, accurate, and adaptable assay was developed to assess the performance of single F1- ATPase motors, and confirmed a three-step mechanism of (gamma) subunit rotation during ATP hydrolysis. Further evaluation of the bioengineering and biophysical properties of F1-ATPase currently are being conducted, as well as the construction of an F1-ATPase-powered, hybrid NEMS device. The evolution of this technology will permit the creation of novel classes of nanoscale, hybrid devices.
Sensors and Photodevices
icon_mobile_dropdown
Thick porous silicon layers as sacrificial material for low-power gas sensors
Olaf Bartels, Alexandra Splinter, Uwe Storm, et al.
A current problem resistive gas sensor have to deal with is their power consumption. Resistive gas sensors naturally require an operating temperature of several hundred degrees centigrade. Especially for mobile applications a novel sensor generation is needed whose power consumption is less than 100mW. This paper describes the processing of an advanced gas sensor substrate on a membrane structure which fulfills these requirements. The function of the membrane is to carry sensor structures and to reduce heat dissipation. In contrast to conventionally used backside chemical wet etching a thick structured porous silicon layer as a sacrificial layer at the frontside of the chip is used. To reduce the surface topography porous silicon is processed with the help of implanted masks.Following the processing of this layer gas sensor structures are realized on top of the porous silicon. In a final chemical dry etch step the membrane is structured and simultaneously the porous silicon is uncovered from the front and can be removed afterwards. The result is a free-standing thermally decoupled gas sensor membrane with a power consumption less than 50mW.
Design and fabrication of novel photodetector arrays
Mary J. Li, Christine A. Allen, Shahid Aslam, et al.
We are developing novel photodetector arrays based on superconducting transition-edge sensor (TES) and pop-up detector (PUD) technologies. The TES has the potential for a new generation of high sensitivity photodetectors from the IR to the x-ray. This is directly due to the sharpness of the resistance change with temperature at the superconducting transition. The TESs are deposited on the PUD arrays and serve as the sensing elements. The PUDs are close-packed, folded membrane arrays that provide the TES substrate and the thermal isolation required by the bolometers and microcalorimeters. This paper presents the processing-related characterization result of preliminary TES and PUD designs. The gaol of this work is to fabricate a new generation of x-ray calorimeters and IR bolometers for space flight projects.
Inertial sensing paradigm using an accelerometer array: XL-array
Seo Kyu Kim, KukJin Chun
An accelerometer array that is a new concept for inertial sensing paradigm is presented. A surface micromachining technology with 6 micrometers -thick polycrystalline silicon was used to fabricate the array and dichlorodimethylsilane grafting as a new anti-stiction method was used to perfectly release 36 accelerometer cells. Test result show the dynamic range of +/- 30G with 1 percent non-linearity and 2.5 mG noise equivalent level. The XL-array was composed of 36 sensing capacitors of the accelerometer cells that are connected in parallel. So the total capacitance in the XL- array was the capacitance of unit cell multiplied by the number of the accelerometer cell.Lager capacitance change is required to obtain a high sensitivity and SNR. This multiple cell structure could provide redundancy for low-yield manufacturing. The cell structures can be easily scaled for spring stiffness and mass to increase the resonant frequency and operating bandwidth. This array-typed structure also gives less sensitivity to residual stress and stress gradient than larger single mass structure. Higher performance can be achieved by making of large array.
Microfabrication and reliability study of sapphire-based Ti/Pt electrodes for thin film gas sensor applications
Wenmin Qu, Wojtek Wlodarski, Michael W. Austin
The paper describes design, fabrication and characterization of a Ti/Pt electrode system, on a sapphire substrate. It serves as substrates for subsequent deposition of gas sensitive films. The fabrication has been accomplished on both sides of a sapphire wafer. Wet chemical etching and the lift-off method have respectively been used for structuring the electrode and the heater patterns. The mechanical stability and the electrical conductivity of the resultant Ti/Pt films are greatly affected by the subsequent heat treatment procedures. The diffusion of titanium ions into platinum has been examined using SIMS depth profiles. After annealing at 600 degrees C for 5 hours, the temperature coefficient of the Ti/Pt film stabilized on the value 0.0033 K-1, which approaches the pure platinum bulk value of 0.0039 K-1. With a good mechanical and thermodynamical stability at high temperatures and under oxidizing and reducing conditions, the sapphire based Ti/Pt electrode system is well suited for gas sensor fabrication. As an example of its application, we report a highly sensitive O3 gas sensor developed by evaporating a WO3 thin-film onto this electrode.
Excess noise in MWIR photovoltaic detectors fabricated using a new junction formation technology
Muhammad Hamid Rais, Charles A. Musca, John M. Dell, et al.
The current-voltage characteristics measured over a wide temperature range are reported for HgCdTe mid-wavelength IR n-on-p photodiodes fabricated using a novel junction formation technology. The planar homojunction device junctions were formed on LPE grown vacancy doped HgCdTe using a reactive ion etching (RIE) plasma induced conversion process. The zero bias dynamic resistance - junction area product, RoA, was 4.6 X 107 (Omega) .cm2 at 80K an is comparable to the best planar diodes reported using conventional and significantly more complicated ion implantation junction formation technology. Arrhenius plots of RoA exhibit an activation energy equal to the bandgap, Eg, and show that the diodes are diffusion limited for temperatures >= 130K. In order to further compare this junction formation technology to other techniques, a series of temperature dependent 1/f noise measurements were performed. Form this study the activation energy for 1/f noise in the region where the diodes are diffusion limited was found to be 0.7Eg. Energies close to this value have previously been associated with Hg vacancies in HgCdTe. These results are similar to those obtained from high quality HgCdTe photodiodes fabricated using mature ion implantation technology. However, the plasma based technology used in this work is significantly less complex and does not require any high temperature annealing steps.
Thin film thermal sensor fabrication and application
Hamid Gualous
This paper is about a gold palladium thin-film microthermocouple gate array. The gate array is fabricated by standard photolithography and sputtering technology. The sensor has been developed to characterize the energetic profile of laser power. The thermal sensor is a thin film thermocouple gate array with 16 sensing junctions per 288 micrometers . The response of Au/Pd thin-film thermocouple has been characterized, the time constant of thermocouple response is in order of 140 microsecond(s) . Furthermore, a linear graph giving the thermoelastic voltage versus the incident power laser has been obtained. Finally, the spot size and the intensity profile of laser beam have been estimated using the sensor.
Process technologies for high-resolution infrared detectors based on LiTaO3
Volkmar Norkus, Gerald Gerlach, Guenter Hofmann
IR system designers increasingly demand pyroelectric detectors demonstrating on the one hand a geometry and number of responsive elements, which are highly user- specific, and a very high signal-to-noise ration on the other. This paper describes the principal design of high- resolution single-element detectors and arrays based on the pyroelectric material lithium tentalate together with subtechnologies for manufacturing. It is shown that the production of self-supporting responsive elements with a thickness smaller than 5 micrometers will be feasible by applying a combined chemical and mechanical polishing together with ion beam etching. In the attempt to increase the absorption coefficient of these elements special silver black coatings are deposited, which result in an absorption coefficient (alpha) >= 0.92 in the wave range pitches of 50 micrometers were reached for ultrasonic bonding processes. Selected detector parameters are used to prove the potential advancement of detector characteristics, which will be feasible by applying these technologies.
Photolithography
icon_mobile_dropdown
Limiting factors in the production of deep microstructures
David W. L. Tolfree, William O'Neill, Leslie Tunna, et al.
Microsystems increasingly require precision deep microstructures that can be cost-effectively designed and manufactured. New products must be able to meet the demands of the rapidly growing markets for microfluidic, micro- optical and micromechanical devices in industrial sectors which include chemicals, pharmaceuticals, biosciences, medicine and food. The realization of such products, first requires an effective process to design and manufacture prototypes. Two process methods used for the fabrication of high aspect-ratio microstructures are based on X-ray beam lithography with electroforming processes and direct micromachining with a frequency multiplied Nd:YAG laser using nanosecond pulse widths. Factors which limit the efficiency and precision obtainable using such processes are important parameters when deciding on the best fabrication method to use. A basic microstructure with narrow channels suitable for a microfluidic mixer have been fabricated using both these techniques and comparisons made of the limitations and suitability of the processes in respect of fast prototyping and manufacture or working devices.
Diffusion mechanisms in microlithographic thin polymeric films
Dan V. Nicolau, Takahisa Taguchi, Susumu Yoshikawa
The contribution proposes relationships for the diffusivity of small molecular species in resist systems; then reviews the possible mechanisms in thin polymeric films, namely simple Fickian, Case II, and diffusion accompanied by chemical reaction, with relevance to microlithography processes. The review of the kinetics reveals the inconsistencies in the models advanced for two important microlithographic processes: (i) silylation in Surface Imaging patterning; and (ii) the deactivation of the Chemical Amplification resist due to the parasitic diffusion of N-methyl-pyrolid-one. These inconsistencies can be easily and elegantly resolved only if the polymeric thin film system is supposed to exhibit a kinetics consistent with the diffusion accompanied by chemical reaction.
Monitoring photoresist glass transition temperature versus processing parameters via NMR broad band spectroscopy
Dan V. Nicolau, Cristina Bercu, Simion Coca, et al.
We propose a method for the monitoring of the glass transition temperature of the resist used in semiconductor lithography based on the broad-band Nuclear Magnetic Resonance technique. The method is capable to trace the evolution of the mobility of several major chemical species present in a resist system versus processing parameters, e.g. exposure energy and bake temperature. The most important components, namely the lower molecular weight photoactive compound and the higher molecular weight base resin, were characterized, in accordance with their signals, as mobile and the rigid component, respectively. The method has the potential for being used for process optimization and for on-line monitoring.
Micro-optical structures for atom lithography studies
Erol C. Harvey, Tracy R. Mackin, Brian C. Dempster, et al.
Slow neutral beams of metal atoms can be manipulated using the intensity gradient of near-resonant light-fields enabling the deposition of atoms onto a substrate in a processes often referred to an atom lithography. A suitably shaped light-field gradient is used to control the path of metal atoms using the dipole force created by the interaction between atoms and the strong, near-resonant optical intensity gradient. Relatively simple patterns such as lines and dots have been created using optical standing waves while more complex light-fields might be created using computer generated optical elements to manipulate the laser beam.
Nonstandard bleaching behavior in DNQ systems: modeling and lithographic consequences
Dan V. Nicolau, Susumu Yoshikawa, Mircea V. Dusa
The beneficial lithographic consequences of the unusual bleaching behavior of the positive DNQ-5-sulfonate based resists doped with imidazole derivatives was investigated. A proposed chemical pathway was the basis for the estimation of the relative molecular absorbance of the intermediate chemical species via semi-empirical quantum mechanics methods. The proposed chemical mechanism is conjunction with the experimentally observed evolution of the resist bleaching versus exposure energy were the basis for the formulation of the modeling equations regarding the evolution of the overall resist absorbance during exposure. These modeling equations were further implemented, together with the estimated molecular absorbance of the intermediate chemical species, in a microlithographic modeling package. Finally, Prolith-based simulations proved how the unusual bleaching behavior of the imidazole-doped DNQ resists is responsible for the positive features of the previously observed features of the Imager Reversal/positive tine lithography, namely dampening of the standing waves and better CD.
Lens FPD and LH effect on CD control of lithography process
D. F. Huang, Chih-Chien Hung
As device geometries are shrinking towards sub-micron and below generations, the critical dimension (CD) control becomes more and more important in lithography process. The CD variations are contributed from some factors, including lens performance, resist thickness uniformity and mask dimension error etc. Two important parts of the available CD budget are caused by lens FPD and LH effect. In this paper we had investigated the relation among CD control, FPD and LH effect by LH monitor system, and compared with the results of ASMLs lens qualification. The experimental results are showed the across field CD variation could meet the conventional ASMLs lens qualification. The variation in critical dimension as a function of exposure field position is an important error component in CD control, which depends on the distribution of best focus within field location. The lens heating CD monitor system was evaluated extensively to determinate the lens heating correction parameters and optimize the focus compensated function. In addition, the lens performance was examined effectively and efficiently during cooling/heating process, including focal plane deviation, field curvature and image tilt etc.
Local defect real-time monitor system in lithography
Hung-Chih Chen, Tse-Yu Lin, Yao-Chang Chu, et al.
In lithography process local defocus is a troublesome problem. That is because local defocus is too difficult to catch in time with current monitor method. Once the local defocus symptoms have been observed at ADI. A lot of wafers should suffer repeating local defect from wafer to wafer. in this paper. A real time monitor system will be presented. And the catching rate could reach to 80 percent. Even reach to more catching rate if set specific specification for varied layers. Because varied layers allow different focus tolerance.
Other Process Issues
icon_mobile_dropdown
Physical and electrical properties of thin dielectrics prepared by photoassisted growth in an NO environment
Phillipe Jamet, H. Barry Harrison, Sima Dimitrijev, et al.
The necessity to decrease silicon wafer-processing temperatures substantially has stimulated research into new and innovative techniques for the formation of thin dielectric films. A photo-decomposition technique using nitric oxide (NO) is one such promising method. Thermally NO-grown and NO-annealed dielectric film shave already shown very encouraging physical and electrical properties. The purpose of this study is to investigate the effect of UV irradiation on the growth kinetics and on the electrical and physical characteristics of these thin dielectrics and to simulate the decomposition of NO molecules that occurs thermally above 1000 degrees C. Methods using UV and vacuum UV light generated from low-pressure mercury or deuterium lamps to stimulate the growth of ultrathin dielectric films are described. Thin dielectrics were prepared by irradiating a UV beam on the heated silicon substrate covered by a thin layer of nitric oxide gas at different temperatures for various lengths of time. The films grown under the low- pressure mercury lamp displayed a much faster growth rate than under the deuterium lamp. The electrical characteristics of the films grown using a deuterium lamp show encouraging results compared to the low-pressure mercury. Compositions of the various dielectrics formed under the two UV sources were studied using x-ray photoelectron spectroscopy. MIS devices were fabricated using these films as gate insulators and were electrically characterized. Electrical and physical characterization revealed good film qualities, rendering this new UV-NO dielectric growth technique promising for low temperature semiconductor processing.
Comparison of wet and dry gate oxides for SiC MOSFETs
Philip G. Tanner, Sima Dimitrijev, H. Barry Harrison
SiC MOSFETs are currently being developed for use in RF, microwave, and switch mode power supply applications, but the process conditions required for high quality and reliable oxides are not yet optimized. This paper present result of a fundamental study comparing wet and dry oxidation of SiC. Equivalent 4H-SiC substrates were cleaned and prepared under identical conditions before oxides were grown in either wet or dry ambients, followed by an inert gas anneal. Capacitance-voltage curves show increased net effective charge and density of interface states in the upper half of the SiC bandgap due to wet oxidation of n-SiC compared to dry oxidation. In contrast, wet oxidation of p- SiC reduces the density of donor-like states in the lower half of the SiC bandgap compared to dry oxidation. Current- voltage curves reveal more low-field leakage as a result of wet oxidation. When oxides on n-type substrates are stressed at room temperature using a dielectric field strength of 9MV/cm, increased hole trapping is seen at the oxide- semiconductor interface of wet oxide devices compared to dry oxide devices. Stressing at a higher temperature and lower field results in similar changes in net effective charge fort he two oxides, although the wet oxide shows considerably more increase in low-field leakage current.
Method for manufacturing high-quality gravure plates for printing fine-line electrical circuits
Juha Hagberg, Seppo Leppaevuori
Electrical thick film circuits have, up to now, been printed with screen printing. This technology and PCB-processing technology are well known and widely used in commercial production. In conventional screen printing and PCB- technology, the accuracy limit is at about 150 microns. The tendency towards higher packing densities requires smaller dimensions to be printed. THerefore, a gravure offset printing process for electronic circuitry is under development. One important task in printing process development is the requirement for high quality and accurate gravure plates. In conventional pad printing, etching, laser engraving and photopolymer methods are the processes mainly used for gravure plate making. For the production of high quality and accurate gravure plates, a new process has been developed. A grooved gravure plate is electrodoped on a substrate upon which a photoresist pattern has been formed. After electrodeposition, the plate is peeled from the substrate. There are several advantages over the existing process. The surfaces of the grooves so formed are smooth. The depth of the grooves can be accurately controlled because it is the same as the thickness of the applied resist layer. Grooves deep enough to transfer sufficient ink needed for the electrical circuit patterns may be realized.
Self-alignment for microparts assembly using water surface tension
Kaiji Sato, Seiichi Hata, Akira Shimokohbe
Alignment of microparts is a very important task in the assembly of microsystems. In conventional alignment, servomechanisms are used. However, in general, the servomechanisms are not only too large to align microparts but also complex and expensive. For overcoming these problems, a self-alignment method using liquid surface tension is proposed in this paper. The surface of the used microparts is divided into two kinds of areas: the wettability of one area is higher than that of the other. Using the microparts, the self-alignment is realized as follows: (1) a droplet of liquid is put on high wettability surface area of the first part, (2) the second part is put on the first one, (3) the second part is moved by the surface tension of the liquid so that the wettability area pattern of the first part overlaps with that of the second one and the alignment is accomplished. In this paper, the self-alignment characteristics using water as the liquid is examined experimentally. The experiment results prove the average of the final alignment errors becomes less than 10micrometers even if an initial alignment error is 100micrometers .
Poster Session
icon_mobile_dropdown
Patterning of nickel-titanium SMA films with chemical etching by a novel multicomponent etchant
Guifu Ding, Aibin Yu, Xiaolin Zhao, et al.
The patterning of nickel-titanium SMA thin films was one of critical micromachining issues during developing SMA film devices, now, an excellent etchant for etching of Ni-Ti SMA thin films was developed, therefore, this problem can be solved by photochemical etching easily. The etchant is based on the dilute hydrofluoric acid with several kinds of additives. The etching process is operated at room temperature with the etching rate of (1-5)micrometers /Min. The etched surface is very smooth and the edge of patterned SMA line is exactly the same as that of patterned photoresist. The etch factor is above 1.5 and might be enlarged furthermore. The etchant is stable and the repeatability is also good. This patterning method is compatible with IC processes, so it is easy to design and fabricate any magic pattern for MEMS applications.
Fabrication of high-yield Si microdiaphragms using electrochemical etch stop in TMAH/IPA/pyrazine solution
Gwiy-Sang Chung, Chin-Sung Park, Byeong-Kwon Ju
This paper presents the fabrication of high-yield Si micro- diaphragms using electrochemical etch-stop method in TMAH/IPA/pyrazine solution. When IPA was added TMAH solution, the flatness of etching front is improved and undercutting is reduced, but the etch rate of (100) Si is decreased. The (100) Si etch rate is improved with addition of pyrazine. The (100) Si etch rate of 0.8/min which is faster by 13 percent than pure TMAH 20 wt percent solution is obtained using TMAH 20 wt percent/pyrazine 0.5 g and the etch rate of (100) Si is decreased with more additive quantity of pyrazine. Addition of pyrazine to TMAH 25 wt percent solution, the flatness variations of etching front is not observed and undercutting ratio is reduced about 30- 50 percent. Addition of pyrazine to TMAH increases the etch ratio f(100) Si, thus the elapsed time for etch-stop was shortened. I-V curves of n- and p-type Si in TMAH/IPA/pyrazine solution were obtained. OCP and PP of n- and p-type Si were also obtained, and applied potential was selected between n- and p-type Si's PP. 801 Si micro- diaphragms having 20 thick were fabricated on 5 inch Si wafer using electrochemical etch-stop method in TMAH/IPA/pyrazine solution. The average thicknesses of micro-diaphragms were 20.03 and standard deviation was +/- 0.26.
Fabrication of an uncooled infrared sensor using pyroelectric thin film
Yun-Kwon Park, Byeong-Kwon Ju, Heung-Woo Park, et al.
In conventional IR-sensors, there are problems of needing cooler and sensing wavelength limitation. These problems can be achieved by using un-cooling thermal IR senors. However, they raise the problems of the attack of pyroelectric thin film layer during the etching of sacrificial layer as well as the thermal isolation of the IR detection layer. In order to fabricate uncooled IR-sensor using pyroelectric film, multilayer should be prepared pyroelectric thin film and thermally isolating membrane structure of square-shaped microstructures. We used the direct bonding technique to avoid the thermal loss by silicon substrate and the attack of pyroelectric thin film by etchant of the sacrificial layer. Metallic Pt layer used as a top and a bottom electrodes were deposited by E-beam sputtering method, while pyroelectric thin films were prepared Sol-Gel techniques. Because the pyroelectric thin film with c-axial orientation raised thermal polarization without the polling, the more integrated capability could be achieved. We investigated the characterized of the pyroelectric thin films: P-E loop, dielectric constant, XRD etc.
Oxygen gas sensing and microstructure characterization of sol-gel-prepared MoO3-TiO2 thin films
Yongxiang Li, Muralihar K. Ghantasala, Kosmas Galatsis, et al.
Binary metal oxide MoO3-TiO2 thin films have been prepared by the sol-gel process. These films were deposited on sapphire substrates with interdigital electrodes and single crystal silicon substrates. The films were annealed at different temperatures of 400 degrees C, 500 degrees C, and 600 degrees C for 1 hour. The morphology, crystalline structure and chemical composition of the films have been analyzed using SEM, XRD, RBS and XPS techniques. The SEM analysis showed that the films annealed at 500 degrees C are smooth and uniform with nanosized grains and probes. RBS and XPS characterizations have revealed that the films are nearly stoichiometric. In this work, we have investigated the sensitivity of this material for oxygen and ozone gases. The MoO3-based gas sensor is capable of detecting O2 down to 50 ppm with a very fast response time. Adding TiO2 to MoO3 thin films tremendously reduced the resistance, which assisted the measurement of ozone gas sensing.
Lens temperature and performance correlation analysis
Jian-Yuan Chiou, M. F. Chen, C. L. Liu, et al.
Lens temperature is a dominant factor for lens performance in steppers. The lens temperature of steppers was controlled tightly with TCU system. This paper aims to discuss lens UDOF, NCE, Astigmatism, FPD, IPT, lens heating performance and CD repeatability. From experiment result, the optimized lens temperature is 22 degrees C of '74' lens. It resulted in maximum UDOF, minimum Astigmatism, minimum FPD, minimum NCE and minimum IPT. And under this temperature, there is minimum Intra-field CED STD and range on the conditions of lens cooling and heating. Non-optimized lens temperature will result in UDOF decrease and Aerial image distortion.
Stress effect on the via crack of IMD structure in scrubber processing
D. F. Huang, R. S. Yeh, Tse-Yu Lin, et al.
The necessity to decrease silicon wafer-processing temperatures substantially has stimulated research into new and innovative technique for the formation of thin dielectric films. A photo-decomposition technique using nitric oxide (NO) is one such promising method. Thermally NO-grown and NO-annealed dielectric films have already shown very encouraging physical and electrical properties. The purpose of this study is to investigate the effect of UV irradiation on the growth kinetics and on the electrical and physical characteristics of these thin dielectrics and to simulate the decomposition of NO molecules that occurs thermally above 1000 degrees C. Methods using UV and vacuum UV light generated from low-pressure mercury or deuterium lamps to stimulate the growth of ultrathin dielectric films are described. Thin dielectrics were prepared by irradiating a UV beam on the heated silicon substrate covered by a thin layer of nitric oxide gas at different temperatures for various lengths of time. The films grown under the low- pressure mercury lamp displayed a much faster growth rate than under the deuterium lamp. The electrical characteristics of the films grown using a deuterium lamp show encouraging results compared to the low-pressure mercury. Compositions of the various dielectrics formed under the two UV sources were studied using x-ray photoelectron spectroscopy (XPS). MIS devices were fabricated using these films as gate insulators and were electrically characterized. Electrical and physical characterization revealed good film qualities, rendering this new UV-NO dielectric growth technique promising for low temperature semiconductor processing.