Proceedings Volume 3546

18th Annual BACUS Symposium on Photomask Technology and Management

cover
Proceedings Volume 3546

18th Annual BACUS Symposium on Photomask Technology and Management

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 18 December 1998
Contents: 15 Sessions, 67 Papers, 0 Presentations
Conference: 18th Annual BACUS Symposium on Photomask Technology and Management 1998
Volume Number: 3546

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Photomask Patterning
  • Overview Presentation
  • Resist, Materials, and Processes
  • Defects, Inspection, and Repair
  • Mask Metrology
  • Advanced Mask Technology
  • Resolution Enhancement Techniques
  • BACUS '98 Special Focus Program on Mask Technology and the 1998 National Technology Roadmap for Semiconductors
  • Poster Session: Resist, Materials, and Processes
  • Poster Session: Resolution Enhancement Techniques (OPC/PSM)
  • Poster Session: Defects, Inspection, and Repair
  • Poster Session: Resist, Materials, and Processes
  • Poster Session: Defects, Inspection, and Repair
  • Poster Session: Mask Metrology
  • Poster Session: Resist, Materials, and Processes
  • Poster Session: Mask Metrology
  • Poster Session: Photomask Patterning
  • Poster Session: Resolution Enhancement Techniques (OPC/PSM)
  • Poster Session: Advanced Mask Technology
  • Poster Session: Resolution Enhancement Techniques (OPC/PSM)
  • Poster Session: Resist, Materials, and Processes
  • Poster Session: Resolution Enhancement Techniques (OPC/PSM)
  • Poster Session: Resist, Materials, and Processes
  • Poster Session: Advanced Mask Technology
  • Poster Session: Resolution Enhancement Techniques (OPC/PSM)
  • Poster Session: Mask Metrology
  • Poster Session: Defects, Inspection, and Repair
  • Poster Session: Photomask Patterning
  • Poster Session: Defects, Inspection, and Repair
  • Poster Session: Advanced Mask Technology
  • Poster Session: Photomask Patterning
  • Poster Session: Resolution Enhancement Techniques (OPC/PSM)
  • Poster Session: Advanced Mask Technology
  • Poster Session: Defects, Inspection, and Repair
  • Keynote Address
Photomask Patterning
icon_mobile_dropdown
Electron-beam lithography simulation for mask making: III. Effect of spot size, address grid, and raster writing strategies on lithography performance with PBS and ZEP-7000
This paper examines, from a modeling perspective, the effects of spot size, data address and raster writing strategy on lithographic performance. Both PBS, the current U.S. standard for mask making, and ZEP 7000, a new, much higher contrast material, will be examined for their impact on lithographic quality. Simulation is used to demonstrate the differences between resists, writing strategies and their implementation.
Development of a next-generation e-beam lithography system
Yasutoshi Nakagawa, Tadashi Komagata, Hitoshi Takemura, et al.
One of the keys for ULSI lithography at a feature size ranging from 180 nm to 150 nm is a stable supply of ultra high precision reticle masks. To meet this demand, we have developed a new electron beam lithography system for reticle masks which offers an exposure accuracy of 20 to 30 nm. The system features a variable shaped beam, 50 kV accelerating voltage, a step-and-repeat stage, nd incorporates new technologies. These include a high resolution-high current density electron optical system, a per-shot focus and shot time correction unit, a high precision beam measurement system utilizing the fitting function method, a single-stage 20 bit electrostatic beam deflection unit and beam-shot smoothing technology. The system achieves a minimum line width of 100 nm or less, a pattern size uniformity of 16 nm (3 sigma) within a field, a field stitching accuracy of plus or minus 19 nm or smaller, and a pattern placement accuracy of plus or minus 29 nm or smaller, resulting in an exposure speed of 3 to 5 times faster than the existing model.
Evaluation of the practical use of GHOST technique for various e-beam resists
Byung-Cheol Cha, Jin-Min Kim, Byung Guk Kim, et al.
In this paper we present results of the application of the GHOST technique to the practical use with 10 keV system. Three commercial e-beam resists which include ZEP7000, PBS, and EBR9 HS31 are selected for comparisons. The background dose equalization by the GHOST technique was found to be effective in reducing the proximity effect. It is generally assumed that exposure contrast degradation due to secondary exposure with largely defocused beam for the GHOST technique, especially at boundary between pattern pixel and nonpattern pixel, leads to poor CD uniformity. Thus, we examined CD uniformity variations as a function of with and without the GHOST technique for three e-beam resists .And we also reported the comparison of proximity effect correction quality for three resists by looking at CD linearity in order to investigate relationship between proximity effect and resist contrast.
Assessment of corner-rounding improvement by automatic software correction on laser pattern generator manufactured photomasks
Wolfram Ziegler, Anja Rosenbusch
With smaller feature sizes and higher pattern densities on high end reticles for DUV lithography, pattern fidelity on mask features becomes essential for wafer lithography performance. The corner rounding on the mask directly results in line shortening on the wafer. One of the main disadvantages of modern laser pattern generating tools for mask making like the ALTA tools, compared to electron beam tools like the latest MEBES4500, is pattern fidelity. The corner radius on photo-masks is mainly determined by the beam spot diameter used for printing, and the resist and chrome etching process following. This paper will discuss the possibility to reduce corner rounding on ALTA reticles by applying small serifs to feature corners in the ALTA exposure data by automatic software correction of the original design data. Issues as correction time of a chip, increase in data volume and the effect on mask manufacturing will be discussed. High resolution images, CD-measurements and aerial images of regular and corner rounding improved features will be compared. The gain in corner rounding of masks manufactured on the ALTA3000 and ALTA3500 are evaluated. Feasibility and applicability of that method in a mask shop manufacturing flow is discussed.
New MEBES pattern generator
Jan M. Chabala, Frank E. Abboud, Suzanne Weaver, et al.
Pattern generation tools must employ improved hardware and new writing strategies to accommodate progressively smaller geometries. At the same time, the lithographic process and metrology strategy must evolve to achieve targets for minimum feature size and feature quality. The MEBES 5000 electron-beam (e-beam) system incorporates hardware and process improvements necessary for 180-nm mask production. Significantly, the system can deliver the high dose needed to pattern advanced resists in practical times. This report describes the 320-MHz data path implemented on the MEBES 5000 system. With additional improvements, including updated temperature regulation and dynamic correction of scan errors, improved throughput and critical dimension (CD) control are achieved. Multipass gray (MPG) is the recommended writing strategy for writing small-address patterns. This high-throughput writing strategy is described in some detail. The high doses that are possible with MPG support the use of high-contrast resists and dry etch. As documented here, patterns with excellent CD qualities can be produced rapidly with MPG and ZEP 7000 resist.
Overview Presentation
icon_mobile_dropdown
1998 mask industry quality assessment
Emilio P. Gonzalez-Lao
The sixth annual mask industry assessment will survey numerous photomask companies for key performance measurements in the areas of safety, quality, delivery, and throughput time. The data compiled includes shipment volume, customer return rate, customer return reason, mask survival yield, delivery performance to schedule, throughput time, and safety incident rate from 1988 through Q2, 1998. New to the assessment is the addition of pellicle-related returns and pellicle failure reasons. The 1998 assessment contains data from eleven of the twelve 1997 participants and includes data from 3 new participants. Company identity remains protected by utilizing Arthur Anderson & Company to ensure confidentiality.
Resist, Materials, and Processes
icon_mobile_dropdown
Inductively coupled plasma etch of DUV MoSi photomasks: a designed study of etch chemistries and process results
The continuing requirements for high resolution, critical dimension control and linearity on photomasks necessitates highly anisotropic and uniform etching of the absorber material. Plasma etching has seen strong increases in popularity to improve the above mentioned requirements. Also recently popular is the inclusion of Embedded Phase Shift materials such as Molybdenum Silicide (MoSi); these materials allow for an engineered 180 degree shift in the phase of the exposure light at the wafer pane, affording enhanced contrast at the edges of a line or feature. This article studies the effect of ICP-based plasma conditions on the CD Uniformity, MoSi etch rate and post-etch Quartz roughness of 6 X 6 DUV MoSi Embedded Phase Shift mask structures through use of carefully Designed Experiments. This Design of Experiment (DOE) makes it possible to screen plasma chemistry, optimize resultant plasma parameters and present an overlayed Simultaneous Solution which is used as a centerpoint for Device Plate etch tuning. The high plasma density, independent ion energy control and low pressure operation of Inductively Coupled Plasmas make this technology well suited to minimizing undercut of the MoSi and affords a vehicle for the realization of a zero-basis etch process.
180-nm mask fabrication process using ZEP 7000, multipass gray, GHOST, and dry etch for MEBES 5000
Maiying Lu, Thomas P. Coleman, Charles A. Sauer
Advanced reticle specifications for resolution, critical dimension (CD) control and CD linearity of 180-nm generation devices require large-scale improvements to maskmaking processes. The approximately 200 nm of bias required with widely used wet etch processes will not meet these specifications. A solution to the high bias requirement of wet etch processing is to implement a plasma or dry etch process. Plasma etch processing has been shown to have little or no undercutting. However, some of the standard resists used with electron beam (e-beam) exposure of photomasks have poor dry etch characteristics. ZEP 7000 is an e-beam resist that has good dry etch resistance while exhibiting superior lithographic quality. In this paper, processes using ZEP 7000 resist and inductively coupled plasma (ICP) etching are described. The combination of these operations can result in zero bias or near zero bias process with e-beam exposure of photomasks. While the required dose for ZEP 7000 is higher than that of PBS, the higher beam current capability of newer e-beam systems, together with multipass writing strategies, enables the use of these slower resists without throughput penalty. Optimization of the development process was done using a two-component solvent developer. A puddle process was investigated for optimizing sensitivity, edge slope, resist loss, mean-to-target control, and CD uniformity. Dry etching with ICP has been shown to etch chromium films with good selectivity to the resist, give a highly anisotropic etch, and, most significantly, show insensitivity to loading effects. The net result of this effort is the development of a process that gives excellent CD control when meeting MEBES 5000 system requirements for 180-nm maskmaking. Data on resolution, CD control, and defects are presented using this process.
Defects, Inspection, and Repair
icon_mobile_dropdown
High-resolution near-field mask repair with femtosecond laser
Yosi Shani, Ian Melnik, Sasha Yoffe, et al.
Nanonics Lithography has demonstrated in the past a mask repair system based on near field optical technology. The basic system consisted of: (1) Near Field sub system -- Including a Near Field Head and the Near Field Optical Aperture (micro-pipette). (2) An X-Y stage with nanometer level accuracy, resolution and repeatability. (3) A doubled Nd:YAG laser for high resolution Near Field Optical Imaging, beyond the diffraction limits of the YAG laser. (4) A Nano- Second (NS) pulse width Arf Excimer laser for ablation of the Cr defect. The repairs performed with the system based on the above configuration suffered from inherent quality problems of Cr removal homogeneity and quartz substrate penetration. This is due to the relatively long duration of the NS pulse, in which the Cr is ablated by melting and evaporating the film accompanied by significant heat diffusion to the surrounding material. In order to improve the repair quality, we have introduced a Femto Second (FS) laser as the ablation source. In the FS regime the metal is evaporated within a time frame that does not allow heat diffusion to the surrounding material. The resultant cut in the metal is clean, without deposition on the edges and with a very limited effect on the substrate. In this paper, we will discuss the interaction of FS pulses with thin metal films and the integration issues of such a laser with Near Field optics. Cr and CrO ablation results of a programmed defect on masks will be presented. Clean homogeneous repairs of Cr. opaque defects with sharp edges and with minimal (less than 10 - 20 nm) Quartz penetration are also shown. Molten material at the line edge, which was common with the NS laser ablation, is also avoided with the FS laser ablation. The resulting mask repair system provides enhanced Cr. and CrO removal without deposition on the edge and with minimal Quartz effect.
MoSi PSM defect inspection and sensitivity analysis
Jerry Xiaoming Chen, Robert K. Henderson, Franklin D. Kalk
The increasing use of MoSi-based attenuated embedded shifter phase shift masks (PSMs) necessitates MoSi defect inspection and classification. The optical properties of MoSi are quite different than conventional Cr-based binary materials, so inspection tool sensitivity to MoSi defects must be characterized. Sensitivity analysis of a KLA 351 with APA algorithm has been performed on both i-line and DUV PSMs with a variety of Verithoro patterns. The programmed defect sizes were measured on a JEOL JWS-7815 SEM that was calibrated with polystyrene latex spheres for defect sizes less than or equal to 0.4 micrometer, yielding a more accurate small defect sensitivity analysis than in the past. Examples of MoSi defect capture and review are also presented.
Use of KLA-Tencor STARlight SL 300 for in-process contamination inspection to control reticle defect densities
Duane Dutton, Wayne P. Shen, Richard Yee, et al.
Control of defects on photomasks is becoming increasingly critical with smaller geometries, tighter specifications and optical proximity control (OPC). Additionally there are new phase shift (PSM) mask materials which are difficult, if not impossible to repair with today's equipment. This paper reports on using the KLA-Tencor STARlight SL 300 in several applications to improve first pass defect levels on photomasks. Two tests were run in which fully exposed reticles were inspected at several points in the PBS process to determine which process caused hard chrome defects at final inspection. In the first test, of 23 final defects, 9 were first seen after exposure (presumed on the raw blank), 2 after develop and 12 after bake. In the second, of 9 final defects, 6 were seen on raw blank, 1 after exposure and 2 after develop. Also reported is the use of the SL 300 to assist in an iterative process/equipment improvement for a strip cycle, resulting in 0 post strip defects in clear areas greater than or equal to 0.5 micrometer. Finally, raw mask blank inspection prior to writing is discussed. Data is presented on a lot of 10 plates in which 50% of the plates inspected did not meet the specification of 0 defects greater than or equal to 1.0 micrometer. The use of the SL 300 to 'cherrypick' blanks for difficult layers is discussed.
Size matters: defect detectability in reticle and wafer inspection including advanced aerial image simulation for defect printability
Eli Almog, Roger F. Caldwell, Fang Cheng Chang, et al.
The lithography world is in transition from I-line to DUV for 0.25 micrometer and below technologies. However, no matter what printing wavelength we are using, reticle inspection is still off-wavelength for the foreseeable future. Due to the extreme push for resolution, k1-factor of 0.4 or even below is being used in manufacturing today. At the same time, the requirements for Usable Depth-Of-Focus (UDOF) are further put under pressure due to the inverse effect that pushing NA has on UDOF. To achieve maximum flexibility, steppers today can accommodate software programmable variable NA and Sigma settings. Resolution enhancement techniques [RET] have been tested and subsequently implemented into manufacturing processes. Lithography at 248 nm or even 193 nm will not achieve the desired resolution and process latitude without using RET. OPC [optical proximity correction] has become a mainstay in 0.25 micrometer designs. With all of these advancements, we also face more and more problems, especially in the area of reticle defect detection and printability prediction. Conventional rules of 1/4 of linesize equals minimum defect specification are no longer applicable. Minimum linewidth variations, for instance, can have a detrimental impact on device performance. Defect printability for optical proximity corrected (OPC) reticles was found context dependent. Reticle qualification needs to have an additional dimension added: on-line defect printability prediction. The ability to characterize the impact of a defect on a given feature, especially in an OPC design, will become an essential tool for mask makers and fabs alike, to evaluate defects and defect repair impact on critical device performance of a particular reticle. In this study, a special reticle design was used to investigate defect size, location and permutation, to evaluate: (1) the defect capture in an advanced reticle inspection system, (2) the defect printability prediction using a sophisticated wafer image simulation software package, (3) the comparison of inspected [reticle and wafer] vs. predicted wafer image, including DR-SEM capability, (4) the true CD impact of a given defect on LW performance using advanced CD-SEM measurements, and (5) the defect capture sensitivity of repaired reticle defects vs. their printability. A test plan was developed to study reticle defect detection, repair, printability prediction and actual wafer print. With the help of MicroUnity, a test vehicle was developed, that would allow for simultaneous inspection of no- OPC, serif-OPC and scattering-bar-OPC in the same inspection path, which then would be incorporated into the reticle in two manners: once without any 'decoration,' then 'decorated' with many different types of pre-programmed defects. In order to be able to also inspect the reticle in die-to-die and do some repair testing on it, the fields were duplicated, and also written at different address units of 0.08 and 0.04 micrometer.
Mask Metrology
icon_mobile_dropdown
Detecting CD error or transmission error of photomask patterns
Whereas critical-dimension (CD) error below 35 nm and transmission error below 3.5% are required for 256 M DRAM, a present-day cutting-edge inspection system may fail to detect 150 nm CD error or 6% transmission error. Improvement of defect inspection is, therefore, necessary to raise yields of semiconductor devices. A new inspection algorithm has been developed for CD error and transmission error of photomask patterns by calculating the average transmission error. Experimental results show as small as 4% transmission error or over 25 nm CD error of hole pattern will be detected without any false alarms.
Compatibility of CD-SEM metrology with advanced e-beam resists
Waiman Ng, Geoffrey T. Anderson, Suzanne Weaver, et al.
There is an industry drive by the photomask manufacturers to produce reticles with tighter tolerances to satisfy the demand of the silicon wafer manufacturers. New processing and metrology techniques must be employed to attain these tighter specifications. This paper examines the feasibility of using low voltage CD-SEM metrology to gauge and optimize advanced e- beam resist processes. This paper presents SEM images, data and analysis of the metrology characterization results for ZEP and PBS e-beam resists. Strategies to minimize e-beam exposure dose during the measurement process will be discussed. Measurement precision and carry over effects will be presented and compared for both PBS and ZEP. This paper will demonstrate the suitability of using a CD-SEM in the metrology of developed ZEP resist, and show that an acceptable level of damage to the PBS resist can be attained.
LWM 200 CD metrology tool evaluation
Robert Uitz, John M. Whittey
Metrology tool performance may be based on a number of different factors. Areas such as ease of use, user interface, throughput, long and short term precision, sub-micron linearity, and accuracy are features which may be evaluated. Some areas are subjective and not easily quantifiable. This paper is concerned with evaluating and measuring the areas which are quantifiable such as the tool's 'Gauge R&R' (reproducibility and repeatability), measurement time, long term precision, and reliability. Explanations of the measurement evaluation methods will be presented along with performance data that is indicative of the system's performance.
Pellicle-induced reticle distortion: an experimental investigation
Wen Chen, James A. Carroll, Glenn Storm, et al.
As semiconductor design rules decrease in size, total overlay performance requires a higher standard of the stepper and the photomask which affords a smaller error budget to each. Currently, photomask overlay assessment is done prior to pellicle attachment. However, the physical act of attaching a pellicle to a photomask imparts mechanical stress that distorts the reticle plane and changes the actual pattern placement from the design intent. With the advent of metrology tools capable of through-pellicle registration measurement, we are now able to assess and better characterize the effect pelliclization has on reticle distortion. The focus of this experimental investigation has been to quantify the incremental reticle distortion attributed to attaching the pellicle. To assess pellicle-induced distortion, both pattern registration and reticle flatness were evaluated. Two pellicle gasket materials were evaluated and one of the two materials was found to produce less reticle distortion. Relaxation of pellicle-induced reticle distortion after the pellicle is attached is also discussed.
Submicron optical CD metrology on photomasks
Michael R. Schmidt, Leonard F. Dubuque, Lyndon Scott Gibbs
As the wafer industry has driven the minimum feature size on the photomask to the submicron range, an increased focus has been placed on the metrology used to control such features. The most common method of metrology for photomask linewidth measurements is the optical CD metrology tool. However, now that the submicron range of photomask linewidths and features are aggressively pursued, the optical resolution limit of the optical CD measurement tool is limiting the ability to accurately perform photomask linewidth measurements. It is therefore essential to look beyond this limit, to either pursue new technology CD metrology tools, or to develop practical techniques to measure submicron photomask features approaching or extending beyond the optical resolution limit of the metrology tool. This paper investigates the later approach with discussion and evaluation of some techniques used as an attempt to enhance the current optical CD metrology capability in order to measure photomask features well into the submicron range.
Advanced Mask Technology
icon_mobile_dropdown
Masks for extreme ultraviolet lithography
Stephen P. Vernon, Patrick A. Kearney, William M. Tong, et al.
In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces -- including the mask. The EUV mask has a unique architecture -- it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state- of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed.
Stencil mask technology for ion beam lithography
Albrecht Ehrmann, Sabine Huber, Rainer Kaesmaier, et al.
Ion beam lithography is one of the most promising future lithography technologies. A helium or hydrogen ion beam illuminates a stencil membrane mask and projects the image with 4X reduction to the wafer. The development of stencil masks is considered to be critical for the success of the new technology. Since 1997, within the European Ion Projection Lithography MEDEA (Microelectronic Devices for European Applications) project silicon stencil masks based on a wafer- flow process are developed. They are produced in a conventional wafer line. Six inch SOI (silicon-on-insulator) wafers are patterned with an e-beam wafer writing tool, then trenches are etched by plasma etching. Afterwards, the membrane is etched by wet etch using the SOI-oxide layer as an etch stop. The last step is to add a coating layer, which is sputtered onto the membrane. It protects the mask against ion irradiation damage. For metrology and inspection, methods used for conventional chromium masks as well as new techniques are investigated. Results from placement measurements on the Leica LMS IPRO tool will be presented. Finally, methods for CD measurement, defect inspection, repair and in-situ-cleaning in the stepper will be discussed, including experimental information of first tests.
EUV mask absorber defect repair with focused ion beam
Pei-yang Yan, Souping Yan, Guojing Zhang, et al.
In this paper, the Extreme Ultra Violet (EUV) mask absorber repair using focused ion beam (FIB) is investigated. It is well known that focused ion beam repair for the opaque defect removal can easily cause both damage and gallium staining or contamination to the substrate. The damage and gallium staining will induce a localized transmission loss in the case of conventional transmission optical mask. The situation become even worse in the case of reflective EUV mask since gallium is very absorbent at EUV wavelength of 13.4 nm. In addition, the incident beam travels double path through the damaged region due to the reflective nature of the mask. To minimize or even eliminate the repair induced damage, we designed a mask flow to incorporate a SiO2 sacrificial layer beneath the metal absorber layer. This sacrificial layer will become a buffer layer for the metal defect repair. The repair, therefore, will only result in the damage on the sacrificial SiO2 layer instead of EUV reflective multilayer (ML). After the repair, the damaged and contaminated SiO2 layer will be etched away by either wet or dry etch. In the study, we performed both high voltage of 30 kv repair and low voltage of 10 kv repair. We found that in addition to use a sacrifice layer, low voltage focused ion beam repair is also necessary to ensure the damage and contamination free ML substrate.
Effects of material properties on patterning distortions of optical reticles
Bulk (or global) heating of photomasks due to e-beam energy deposition during patterning causes thermal expansion of the mask substrate and leads to pattern placement errors. Finite element calculations were performed to simulate the in-plane distortions (IPD) due to the single pass writing of a 6 in. X 6 in. optical reticle. Comparison studies were performed to identify the effects of material properties (such as thermal conductivity and the coefficient of thermal expansion) when pattering SiO2 and CaF2 substrates. Final IPD maps illustrate that thermal distortions of the CaF2 will need to be controlled in order to satisfy increasingly stringent error budgets.
Resolution Enhancement Techniques
icon_mobile_dropdown
Automated layout and phase assignment techniques for dark-field alternating PSM
Andrew B. Kahng, Huijuan Wang, Alexander Zelikovsky
We describe new, efficient algorithms for layout modification and phase assignment for dark field alternating-type phase- shifting masks in the single-exposure regime. We make the following contributions. First, we give optimal and fast algorithms to minimize the number of phase conflicts that must be removed to ensure 2-colorability of the conflict graph. These methods can potentially reduce runtime and/or improve solution quality, compared to previous approaches of Moniwa et al. and Ooi et al. Second, we suggest a new iterative 2- coloring and compaction approach that simultaneously optimizes layout and phase assignment. The approach iteratively performs the following steps: (1) compact the layout and find the conflict graph; (2) find the minimum set of edges whose deletion makes the conflict graph bipartite; and (3) add a new compaction constraint for each edge in this minimum set, such that the corresponding pair of features will no longer conflict. Third, we describe additional approaches to co- optimization of layout and phase assignment for alternating PSM. Preliminary computational experience appears promising.
Mask specifications and OPC
Wilhelm Maurer, Christoph M. Friedrich
The paper evaluates the implications of optical proximity correction (OPC) to mask specifications. This is done by simulation of 200 nm design rule structures using a conventional simulation tool for long lines and using the simulation module of an OPC-tool for more complicated design situations. Both tools were parameterized for a state-of-the- art lithography process using a 0.6 NA stepper and a chemically amplified resist. As long as OPC stays within reasonable limits (minimum feature size greater than 60% of the input pattern), the printability of a defect is about the same as in a dense line/space array. A defect of 200 nm size on a 4X mask produces change in linewidth of about 20 nm. Even for masks with subresolution structures, the increase of printability of mask defects is within 10% compared to un- corrected masks. However, simulation using resist parameters cannot reproduce the aerial image result, that masks with outriggers are less sensitive to mask linewidth variation than conventional masks.
Comparison of single- and dual-exposure phase-shift mask approaches for polygate patterning
Single, multi-phase, and dual, 'hidden-shifter,' phase shift masks are compared via simulation and experiment in terms of line-end patterning fidelity and printability of phase defects. Four phase mask line-ends bend through focus while 'hidden-shifter' line-ends show acceptable performance. For a given phase difference, phase defects are found to be more severe than phase errors in terms of resist CD variations. Phase defect printability is highest at positive defocus. For a typical process window, 60 degree phase defects as small as 100 nm may cause unacceptable CD variations.
Phase controllability improvement for alternating phase shift mask
Masami Nara, Toshifumi Yokoyama, Hiroshi Fujita, et al.
An alternating phase shift masks can improve resolution and lithographic latitude. However, Alt-PSMs have not yet become practical because of difficulty in their tight phase and defect control. In this paper, we focused on how to control both phase uniformity and phase mean value of etched quartz shifters. We found that a material of a dry-etching tale (cover plate of work electrode) was strongly affected for phase uniformity. By choosing an adequate material, phase uniformity of 1.9% could be achieved. Micro-loading effect and loading effect degrade phase controllability. Loading effect was not observed in our etching conditions. But micro-loading effect was observed. Back exposure process was useful to prevent micro-loading effect. To improve mean value controllability, 2 step etching process was adopted. By using this method, mean value cold be controlled within plus or minus 2 degrees.
Inspection of OPC reticle for 0.18-um-rule devices
Akihiko Ando, Yoji Tono-oka, Hiroyuki Shigemura, et al.
Defect printability and sensitivity of reticle inspection systems were studied for 0.18 micrometer-rule devices. For our evaluation, an OPC test reticle was designed, and fabricated with E-beam and dry-etching. Base patterns are 0.18 micrometer-rule memory cells. Serif length is 0.3 micrometer and step is 0.1 micrometer (on reticle). The programmed defects have varieties of types, locations, and sizes. For the defect printability test, we used a 4 X KrF scanner (NA equals 0.6, (sigma) equals 0.75), and resist image was measured by CD-SEM. The defects which cause more than plus or minus 5% CD error were defined as 'printable' defects. It was cleared that very small defects can be printed on the wafer. For instance, 50 nm side placement defects were printed. Several inspection systems were evaluated and compared with our printability specification. From our result, there were no systems which have better performance than our specification. However, some latest systems were very close to our specification.
BACUS '98 Special Focus Program on Mask Technology and the 1998 National Technology Roadmap for Semiconductors
icon_mobile_dropdown
SIA Roadmap: impact on the lithography foodchain (Presentation Only)
Klaus-Dieter Rinnen
The evolutionary path of optical lithography is nearing its end . . . or is it? This presentation looks at the ramifications of the 1997 National Technology Road Map on the Photolithography segment, with special focus on the Photomask market. The SIA Roadmap points to a host of challenges that the industry is facing in years to come. These challenges range from an aggressive continuation of device scaling and the associated longer-range question about 'Life after optical Lithography,' to larger wafer sizes with larger sized masks to reduced time to market requirements. While the presentation touches only lightly on the technical aspects of these issues, it will focus in detail on the question: what does it all mean to the business?
Assessment of a hypothetical roadmap that extends optical lithography through the 70-nm technology node
This work discusses routes to extend optical lithography to the 70 nm technology node using proper selection of masks, mask design including choice of optical proximity correction (OPC), exposure tool, illuminator design, and resist design to do imaging process integration. The goal of this integration is to make each component of the imaging system work to the best benefit of the other imaging components so as to produce focus-exposure process windows large enough to use in a manufacturing environment. In order to maximize return on investment, the design of the photoresist and the exposure tool is used to simplify reticle design as much as possible. For masks, the choices of binary, alternating or attenuated phase-shift masks (PSM) are discussed. Alternating PSM produces the best image quality but the effective phase angle depends on NA, wavelength, sigma, magnification, pitch and duty cycle. Attenuated PSM has maximum image quality when using transmissions of 18% for contact holes and 30% to 40% for lines and spaces. Using high transmission masks increases working resolution of a wide range of feature sizes and shapes, but requires suppression of unwanted light. This suppression requires using ternary attenuated PSM and in many instances necessitates critical formation of a second layer on the mask that has both the proper size and placement of the second level features. For OPC, the use of scattering bar, sub-resolution assist features to make isolated lines mimic dense exposure-focus response is discussed. For illuminators, properly tuned weak off-axis illumination is used with binary and attenuated PSM to flatten image CD while maintaining image quality at an acceptable level for the resist. For resists, the need to balance resist bias and side-lobe printing is discussed. A 'work-in-progress' integration experiment is reviewed for 525 nm and 1050 nm pitches with 175 nm targeted line features imaged with a 0.53 NA, 248 nm stepper that has been modified with weak and strong off-axis illuminators and a binary reticle. Results show weak illumination produces a common process corridor for the two pitches that will need enhancement using OPC, but that the individual windows have acceptable imaging capability. Predictions of production resolution that are inferred by our simulation and experimental results are made and recommendations are given to make these predictions a reality. Based on our work we believe that, expect for dense contact holes, 248 nm has the potential to be used through the 130 nm technology node and 193 nm can be used through the 100 nm node and the beginning of the 70 nm technology node. Dense contact holes will require a next generation lithography technology.
Optical proximity correction for 0.15-um-rule memory devices
Haruo Iwasaki, Hiroyoshi Tanabe, Takashi Inoue, et al.
Optical proximity correction (OPC) is applied to the cell patterns of 0.15-micrometer-rule memory devices. Two kinds of memory cell patterns are studied. The first is a wire pattern which has small gaps between two wires. The small gaps can be clearly resolved by using OPC such as jogs or resizing. The second pattern is a storage node pattern which has a rectangular shape. The area of the storage node is enlarged by using OPC such as resizing, hammer heads or serifs. These OPC masks are successfully fabricated by using dry etching process.
Some challenges for mask making to keep up with the roadmap
This paper discuses the need for additional mask quality factors for implementation into a further roadmap. These factors are expected to affect the printed image on wafer. Especially the global idea of pattern fidelity is introduced. Low voltage scanning electron microscopy can offer the capability to mask makers to deliver this extra information. This knowledge should lead to a better understanding how mask imperfections may contribute to the overall lithography error budget. This understanding will need to rely on stronger collaboration between mask maker and mask user. Using simulation data and the so-called mask error factor, it is shown that certain mask strategies may allow larger mask error budgets.
In the year 2525, if x ray is still alive, if lithography can survive, they may find...
John L. Nistler, Mark Michael, Fred N. Hause, et al.
Data and discussions will be presented on the NTRM, National Technology Roadmap, for reticles based on a Process Integration perception. Specifically two layers are considered for this paper, the gate layer which is primarily a chrome geometry mask with a lot of open glass and a local interconnect layer which is primarily a chrome plate using clear geometries. Information from other sources is used where appropriate and actual in-house data is used to illustrate specific points. Realizing that demands from different customers for specific types of features tend to drive specific mask makers and their decisions on equipment purchases and processes. We attempt to help predict where Integration approaches have either caused a lag in technology pushes or have actually speeded up certain requirements. Discussions of integration requirements, which tend to push maskmakers, will be presented. Along with typical design approaches in OPC and PSM which either will push technology or actually slow down the trend towards smaller geometries. In addition, data will be presented showing how specific stepper characteristics may actually drive the customer's criteria, thus changing the requirements from customer to customer.
Issues on mask technologies: 0.18-um and beyond
This panel discussion was focused on the key issues on mask fabrication for 0.18 micrometer rule devices and beyond. The requirements for mask fabrication specifications from the logic and DRAM device manufacturers, and the limits and key issues for mask technologies from the mask suppliers and the equipment suppliers were presented and discussed. The CD accuracy, defect inspection, and cost were listed up in the critical requirement list from the device manufacturers. On the other hand, the mask suppliers gave the critical issues such as the capabilities of inspection and repair, dry etching technology, 230 mm reticle, the throughput of mask writers, and so on. According to the revised 1997's SIA roadmap, technologies were pulled-in about 2 years, so that the panelists pointed out some expanded gap between the requirements and current performances. CD uniformity was listed as the most important issue to be improved, and requested CD range was less than 20 nm. Compared with mask suppliers target of 30 nm, there are still some critical issues to overcome to achieve the requested value. In addition to the improvement of equipment and process, the studies of metrology, specification clarification, and error budget analysis were required for action items.
Accuracy differences among photomask metrology tools and why they matter
A variety of different kinds of photomask critical dimension (CD) metrology tools are available today to help meet current and future metrology challenges. These tools are based on different operating principles, and have differing cost, throughput, footprint, etc. But how do their potential accuracies differ, and is this important? In this context the effects of tool resolution, the meaning of measurement accuracy and traceability, the role of standards, and how these fit into the measurement model and affect manufacturing profitability are discussed. These considerations can help in choosing the right tool for the measurement task at hand and in evaluating the measurement results.
Gaps in mask technology with respect to the National Technology Roadmap for Semiconductors
Wallace R. Carpenter, Kimberly Sadler, Thomas White
There are ever increasing technical and business demands on the mask industry, resulting in increasing costs and cycle times. The support infrastructure continues to lack the ability to provide solutions to mask makers in a timely manner, due to its inability to recover its investment quickly. This further constraints the development resourcing necessary to stay on the Moore's Law Curve. At the same time, the mask industry has not provided a clearly focused set of demands on the equipment suppliers beyond the SIA Roadmap. This paper has taken the approach of collecting key mask metric technology requirements from the entire International SEMATECH mask community as a comparison to the SIA Roadmap requirements through to the 100 nm technology node. The results of that information identify gaps in mask-making technology by metric and process flow, prioritize the key issues, and offer potential solutions to these issues. Mask costs are addressed and challenged.
Poster Session: Resist, Materials, and Processes
icon_mobile_dropdown
High-contrast positive resists for e-beam mask making
Wu-Song Huang, Ranee W. Kwong, Wayne M. Moreau, et al.
The five major requirements for electron beam resists for chrome mask fabrication include high resolution, high speed, RIE etch resistance, thermal bake insensitivity, and long coated plate shelf life. High speed, high resolution and good RIE resistance are common attributes of chemically amplified resists (CAR) used primarily for Deep UV lithography. However, the environmental susceptibility to airborne amines, need for a long coated plate shelf life and the requirement for 140 Celsius postexpose bake (PEB) of some CAR or fundamental challenges of these families of resist for practical Cr lithography. We have been developing a series of fast amplified e beam resists which exhibit do not require PEB bake and are tolerant of airborne contaminants. The shelf life attributes of both negative and positive chemically amplified resists was examined and found to be susceptible to absorption of moisture. Some of the acid generators hydrolyzed in the dried films and led to changes in resist sensitivity or dark film loss. Long term film stability of CAR coated plates can be promoted by using hydrophobic solvents, stable acid generators, and most effectively by storage under anhydrous conditions.
Poster Session: Resolution Enhancement Techniques (OPC/PSM)
icon_mobile_dropdown
Characterization of OPC masks for thin-film head pole trimming applications
Warren W. Flack, Sylvia White, Calvin Ho, et al.
The storage space of hard disk drives more than doubles every 18 months. In order to maintain this growth rate, thin film head (TFH) manufacturers continue to seek new technologies to increase the areal density on the magnetic media. The trimming of the track at the rowbar level known as 'pole trimming' has proven itself to be very effective at increasing the number of tracks per inch (TPI) during the inductive head generation. However, the transition to magneto-resistive (MR) head technologies with ever smaller form factors has continued to push the trackwidth (TW) requirements of the industry. Optical proximity correction (OPC) enhanced masks have been used in the semiconductor industry for controlling the shape of contacts and eliminating line shortening effects for submicron features. The TFH industry is facing a similar challenge as TWs dip below 1 micrometer. In an attempt to transition the pole trimming process technology from inductive to MR heads, the issue of magnetic performance versus pattern fidelity of the feature becomes critical. OPC masks can be used to minimize the corner rounding effects of trimmed shared magnetic poles, which are ultimately responsible for the track width. This paper evaluates OPC mask technology on rowbar level pole trimming using a 1X stepper to identify the extendibility of minimum TWs for the MR head generation. Various combinations of serifs were experimentally evaluated at different track widths. Multiple photoresists and photoresist thicknesses were selected to represent the range of processes used in the industry. The experimental results were then compared with photoresist simulation studies of the same OPC reticle features. The validation of the simulation results allowed a wider range of conditions to be studied. The results show that OPC is an effective technique for enhancing pole trimming and extending the areal density of modern head designs.
Full-chip optical proximity correction using lithography simulation
Graham G. Arthur, Brian Martin, Christine Wallace, et al.
The application of Optical Proximity Correction for improving uniformity of printed dimensions at sub-half-micron resolution in a 0.35 micron CMOS process is described. Results are presented in terms of measurements made on polysilicon gates, at different pitches, which are compared to the uncorrected case. The impact of photomask and stepper lens qualities on dimensional control are also considered. Results presented are at the demonstrator stage but strategy for implementation in production is discussed.
Poster Session: Defects, Inspection, and Repair
icon_mobile_dropdown
Advanced mask cleaning for 0.20-um technology: an integrated user-supplier approach
Rudolf Poschenrieder, Bernd Hay, Matthias Beier, et al.
A newly developed photomask final cleaning system, STEAG HamaTech's Advanced Single Substrate Cleaner, ASC 500, was assessed and optimized at the Siemens mask shop in Munich, Germany, under production conditions within the Esprit European Semiconductor Equipment Assessment programme (SEA). The project was carried out together with the active participation of Compugraphics Intl. Ltd. (UK), DuPont Photomasks, Inc. (Germany) and Photronics-MZD (Germany). The results of the assessment are presented, focusing on the cleaning performance at the 0.25 micrometer defect level on photomasks, equipment reliability and Cost of Ownership data. A reticle free of soft defects on glass and on chrome down to the 0.25 micrometer level requires an excellent cleaning process and the use of high-end inspection tools like the KLA STARlight. In order to get a full understanding of the nature of the detected features additional investigations on the blank quality have been carried out. These investigations include the questions whether a detection is a hard or a soft defect and whether small defects on chrome are able to move on the reticle surface. Final cleaning recipes have been optimized in respect to cleaning efficiency while maintaining high throughput and low Cost of Ownership. A benchmark comparison against other final cleaning tools at the partner's maskshops showed the leading data of the ASC 500. It was found that a cleaning program which includes several substrate flips and a combination of the available cleaning methods acid- dispense, water pressure jet clean, brush and megasonic clean was best suitable to achieve these goals. In particular the use of the brush unit was shown to improve the yield while not adding damage to the plate.
Poster Session: Resist, Materials, and Processes
icon_mobile_dropdown
Pellicle transmission uniformity requirements
Thomas L. Brown, Kunihiro Ito
Controlling critical dimensions of devices is a constant battle for the photolithography engineer. Current DUV lithographic process exposure latitude is typically 12 to 15% of the total dose. A third of this exposure latitude budget may be used up by a variable related to masking that has not previously received much attention. The emphasis on pellicle transmission has been focused on increasing the average transmission. Much less, attention has been paid to transmission uniformity. This paper explores the total demand on the photospeed latitude budget, the causes of pellicle transmission nonuniformity and examines reasonable expectations for pellicle performance. Modeling is used to examine how the two primary errors in pellicle manufacturing contribute to nonuniformity in transmission. World-class pellicle transmission uniformity standards are discussed and a comparison made between specifications of other components in the photolithographic process. Specifications for other materials or parameters are used as benchmarks to develop a proposed industry standard for pellicle transmission uniformity.
Poster Session: Defects, Inspection, and Repair
icon_mobile_dropdown
Defect inspection capability for advanced OPC photomasks
Advanced optical proximity correction (OPC) designs have resulted in many challenges for both the manufacturers of photomasks and automated defect inspection equipment. The successful manufacture of advanced OPC photomasks includes the ability to resolve the OPC features, complete an automated defect inspection that captures all of the defects of concern, and accurately recognize and disposition these defects. New defect types associated with OPC features are important and will print on a wafer or affect critical feature dimensions. Advances in defect detection on designs with OPC required breakthroughs by both the photomask and inspection system manufacturer. Process improvements focused on better resolving OPC features, along with advancements in automated defect inspection systems, have resulted in overall improvements in automated defect infection capability for OPC designs. The ability of OPC inspection algorithms to detect critical defects was confirmed with the DuPont VerithoroTM 890, the OPC3 programed defect test reticle, and defect capture occurrences on actual designs with advanced OPC features. Sensitivity improvements of up to 0.17 micrometer were demonstrated for both OPC and non-OPC type defects.
Poster Session: Mask Metrology
icon_mobile_dropdown
The AIMS tool: its potentials, applications, and issues
Syed A. Rizvi, Nathan A. Diachun
The AIMS Tool, manufactured by the Zeiss of Jena, Germany, is a unique combination of sophisticated optics and ingenious software designed to simulate the image of mask pattern as it would be created by a stepper on its wafer plane. This paper reviews some of the machine' capabilities and potentials, and shows its role in complementing the state of the art metrology tools. Most importantly, the paper explores ways of enhancing the productivity and utilization of the tool by strong participation of the newly formed AIMS Tool User's Group.
Poster Session: Resist, Materials, and Processes
icon_mobile_dropdown
Mechanical distortions in advanced photomasks
Finite element (FE) models have been developed to determine mechanical distortions produced by photomask blank fabrication. The fabrication process involves the deposition of stressed thin films and the removal of parts of those films, the latter of which is referred to as pattern transfer. By creating a model of the substrate with thin layers associated with the mask fabrication process, then by prestressing these layers and simulating pattern transfer, the resulting out-of-plane displacements (OPD) and in-plane distortions (IPD) can be identified. Pattern-specific, global distortions induced during pattern transfer have been calculated for both dark and light field masks.
Poster Session: Mask Metrology
icon_mobile_dropdown
Stage Cartesian self-calibration: a second method
Michael T. Takac, John M. Whittey
A physical two-dimensional Cartesian reference has been demonstrated using group theory principles pioneered by Michael Raugh. The first stage Cartesian self-calibration introduction to the microlithographic industry was developed by Stanford University, Hewlett Packard, and IBM San Jose using Leica's LMS-2000 and LMS-2020 platforms. Recently Leica developed a different method based on a similar theory to achieve a Cartesian calibration for their LMS-IPRO x-y metrology system. A review of these methods and a comparison of the results obtained between the methods are presented.
Poster Session: Photomask Patterning
icon_mobile_dropdown
Half-multiphase printing: a proposed throughput improvement on MEBES 4500
Wayne P. Shen
This paper proposes a simple writing method, named 'half multiphase printing,' to improve the throughput of the MEBES 4500 raster-scan photomask writer by 40 - 50% while maintaining the same edge accuracy. The concept is simple and easy to apply. It is expected to be a very useful writing mode, especially for those applications with 0.25 - 0.35 micrometer design rules where 0.04 - 0.08 micrometer design grids and PBS resist are still widely used throughout the industry.
Poster Session: Resolution Enhancement Techniques (OPC/PSM)
icon_mobile_dropdown
New approach to optical proximity correction
Anja Rosenbusch, Andrew C. Hourd, Casper A. H. Juffermans, et al.
A hierarchical rule based optical proximity effect correction approach is presented. The approach has been driven by maskmaking and production requirements to make OPC a practical problem solution. The model based rule generation is presented, as well as benchmark tests on different state-of- the-art test chips.
Poster Session: Advanced Mask Technology
icon_mobile_dropdown
TiSi-nitride attenuating phase-shift photomask for 193-nm lithography
Gillian A. M. Reynolds, Roger H. French, Peter F. Carcia, et al.
We have developed a new attenuating embedded phase-shift mask blank for 193 nm lithography based on novel TiSi-nitride chemistry. At 193 nm, these materials offer high optical transmission, they are radiation damage resistant, stable in common chemicals used to strip photoresist, process compatible with use of a hard Cr etch mask, and exhibit good dry etch selectivity to quartz. Specifically, optical transmissions of greater than 10% were achieved in films with 180 degree phase- shift. Irradiation at 6 mJ/cm2/pulse, or approximately 60x the energy densities in commercial steppers, caused small change in optical transmission for doses up to 2 kJ/cm2. Dry etching the films in an ICP reactor with CF4 gave a greater than 6:1 etch selectivity to quartz. Further, the novel wavelength-tunable structure of these TiSi-nitride films permits equally attractive phase-shift designs at 248 nm and longer wavelengths.
Poster Session: Resolution Enhancement Techniques (OPC/PSM)
icon_mobile_dropdown
Resolution limits with 248-nm strong phase-shifting techniques for contact patterning applications
Yulia O. Korobko, Mei-Chien Lu, Jesmar Telans, et al.
As conventional lithography capability is reaching the limit, resolution enhancement becomes a crucial element to extend the lithography capability. The main purpose of this paper is to examine the capabilities and limitations of various phase shifting techniques. Using contact applications as an example, alternating and phase edge phase shifter were evaluated with single and double exposure techniques. Detailed discussion will include both simulation and experimental results. The PSM performances were predicted with Intel in-house simulator. Analysis was done based on aerial image formation using peak intensity and Modulation Transfer Function to evaluate resolution capabilities. For each type of phase shifter, good resolution enhancement was achieved with optimized pitch range of dense structures. Experimental results of focus-exposure matrices were taken on a DUV stepper with NA of 0.42. Significant resolution enhancement was demonstrated with k1 as low as 0.32 which is far below the limitation a k1 equals 0.5. Small contacts with tight pitch, i.e. 180 nm contact with 380 nm pitch, were demonstrated with reasonable depth of focus by using double exposure technique. By using single exposure alternating phase shifting technique pitches down to 460 nm is steadily resolved. With conventional mask, 350 nm contacts with 700 nm pitch is reported as the minimum printable range for the same stepper.
Design and analysis of manufacturable alternating phase-shifting masks
The advent of mask topography simulation has made possible not only the investigation of the effects of scattering from the mask on the aerial image quality, but also allows a search for mask configurations that produce the desired results. In this work, we first provide studies of the effective phase in a phase-shifting mask by varying both the relative subtractive etch depth in the quartz (corresponding to the Kirchhoff phase difference) and the etch bias (dual trench depth). Ultimately, the sensitivity of the resist linewidth with respect to the effective phase error takes on a critical importance in production, and one may desire to know how to alter a given mask in order to eliminate undesired effects from such errors. A design methodology that takes these issues into account employing a mask topography simulator and a lithography simulator is the end result of this study and is illustrated using an example taken from sub-130 nm lithography.
Poster Session: Resist, Materials, and Processes
icon_mobile_dropdown
DUV pellicle quality assessment based on customer priorities
As semiconductor lithography wavelength decreases, pellicle quality becomes more crucial. Previously unprintable membrane defects are now more susceptible to printing, and pellicle film transmission variation may cause nonuniformity in printed feature size. Globally, five companies manufacture DUV film pellicles: DuPont, Exion, Mitsui, MLI, and Shinetsu. A report comparing these vendors' DUV pellicles is presented here. Each vendor provided ten 248 nm pellicles to be evaluated. Pellicle properties evaluated, in order of importance to several semiconductor manufacturers, were transmission uniformity, membrane cleanliness, frame cleanliness, membrane transmission (both on- and off-axis), adhesive uniformity/integrity, and frame width uniformity. Transmission properties were measured with a single beam spectrometer. Frame cleanliness, frame width uniformity, and adhesive integrity were inspected with a micrometer-stage microscope. Visual frame and membrane particle inspections were performed with a high intensity light followed by an automatic, laser-scattering particle inspection tool. None of the vendors passed the requirements provided by semiconductor manufacturers. Deficiencies were observed in membrane transmission, frame and membrane cleanliness, and adhesive integrity.
Poster Session: Resolution Enhancement Techniques (OPC/PSM)
icon_mobile_dropdown
Design of 200-nm, 170-nm, and 140-nm DUV contact sweeper high-transmission attenuating phase-shift mask through simulation I
Simulations for 140 nm, 170 nm, and 200 nm contacts were optimized on an 18% transmitting phase shift mask. A transmission of 18% is shown to have the most linear aerial image behavior through focus. The simulations were run using a primitive positive photoresist model in order to predict trends in resolution and to predict when side lobes begin printing. The contact hole design was optimized such that the process windows for various pitches overlap and the sidelobes are suppressed. By adding both opaque and clear sub-resolution assist features, the simulations show contacts as small as 140 nm resolve with 0.83 micrometer focus latitude and 2.00 mJ/cm2 exposure latitude for a pitch of 0.98 micrometer, and with 0.36 micrometer focus latitude and 0.50 mJ/cm2 exposure latitude for a pitch of 0.44 micrometer. Simulation shows that the serifs which create an effective contact bias also suppress side lobe printing. Vector simulation shows that the coupling between the electric and magnetic fields reduces the peak intensity and side lobe intensity.
Effect of reticle CD uniformity on wafer CD uniformity in the presence of scattering-bar optical proximity correction
Amplification of reticle linewidth variations in imaging is examined through direct measurements of the mask error factor (MEF), which typically is used to describe this undesirable effect. The error observed in the aerial image linewidth is decoupled from the error in the resulting resist profile linewidth with the introduction of two separate mask error factors, namely the aerial image MEFaerial and the resist MEFresist. These MEF's are evaluated from systematic aerial image measurements and resist profile measurements on printed wafers respectively. In many cases the noise in the metrology tools used in the experiment, combined with the very high quality of the test reticle used, limit the statistical confidence of our results. However useful insight is gained on the role of the non-linearity of the resist in reducing the error observed at the wafer (MEFresist) in comparison to the error observed in the aerial image (MEFaerial). It is found that 180 nm lines (k1 equals 0.38) have a MEFaerial approximately equals 1.5 and a MEFresist approximately equals 1. The effect of scattering bars OPC on the MEF for features sizes 180 nm and 220 nm (k1 factors of 0.38 and 0.47 respectively) is generally small and on the order of less than 10%.
Poster Session: Resist, Materials, and Processes
icon_mobile_dropdown
Impact of the loading effect on CD control in plasma etching of Cr photomasks using ZEP 7000 resist
Frederick T. Chen, Wilman Tsai, Scott Chegwidden, et al.
As requirement of CD uniformity on photomask continue to tighten with advanced logic and memory devices, new process technologies will be needed to be developed to address the gap of process capability. For instance, a less than 20 nm CD range will be required on a 0.18 micrometer generation logic devices with a nominal field area of 120 X 120 mm. New technologies such as high energy e-beam write (to reduce forward scattering), advanced e-beam photoresist and plasma etch processes are currently being developed to achieve such stringent CD uniformity specifications. One of the key issues of plasma etch technology is related to microloading effects which accounts for a major portion of CD budgets. In this work, an engineering test mask was designed to identify etch microloading mechanisms and to improve performance of a standard Magnetic-Enhanced Reactive Ion Etch (MERIE) process. Additional comparison of CD microloading was also made with an Inductively-Coupled Plasma (ICP) etch process.
Poster Session: Advanced Mask Technology
icon_mobile_dropdown
Actinic detection of EUVL mask blank defects
Seongtae Jeong, Mourad Idir, Lewis E. Johnson, et al.
We report the design and initial experimental results of an actinic inspection system for extreme ultraviolet lithography mask blank defect detection. Initial bright-field and dark- field results demonstrate sensitivity to submicron size phase defects.
Process margin in ArF lithography using an alternating phase-shifting mask
Takahiro Matsuo, Keisuke Nakazawa, Tohru Ogawa
We have developed ArF excimer laser lithography using an alternating phase-shifting mask (PSM) for 0.10 micrometer device fabrication. The process margin and the requirement of phase accuracy were discussed for fabricating 0.10 micrometer patterns. We clarified the factor which have an influence on the resist process margin. It was found that the phase error has a great influence on the depth-of-focus (DOF), and the mask structures and the mask bias affect on the exposure latitude. The exposure latitude for the dual-trench type PSM reached over 1.3 times as large as it for the single-trench type. 0.10 micrometer patterns have been achieved with the DOF of 0.8 micrometer and the exposure latitude of 14% by optimizing the phase shift on the dual-trench type mask. Furthermore, the exposure latitude has been improved up to 16.5% by adding the mask bias of - 0.04 micrometer on the opaque area. By a feasibility study with the 10X dual-trench type masks, it was suggested that the phase accuracy of plus or minus 2 degrees was required for 0.10 micrometer pattern fabrication.
Poster Session: Resolution Enhancement Techniques (OPC/PSM)
icon_mobile_dropdown
Method to determine printability of photomask defects and its use in phase-shift mask evaluations
Scott M. Mansfield, Richard A. Ferguson, Lars W. Liebmann, et al.
Typically all defects found on a photomask are repaired, despite the fact that many of the defects would not cause problems on the wafer. Ideally, if the lithographic process does not image the defect onto the wafer in such a way as to adversely affect the performance of the device, then the mask maker should not be required to repair the defect -- saving time and money. It is difficult to classify defects in this manner, however, as the impact of the defect depends on various factors, including: lithography process parameters, proximity of defect to a critical feature, feature type and the size, shape, phase and transmission of the defect. These effects are further complicated by shrinking critical feature sizes and the use of resolution enhancement techniques, such as PSM and OPC. To address this problem, a new method of determining the printability of defects on all types of photomasks was developed. This method involves characterizing the aerial image of the defect and assigning an equivalent mask CD error to the defect. The equivalent CD error is then compared to the mask CD error specification to determine if the defect should be repaired. This methodology ties the mask defect specification directly to the device performance specifications and accounts for the multitude of factors that influence the defect printability in a real lithography process. This technique has been used to evaluate attenuated and alternating PSM.
Poster Session: Mask Metrology
icon_mobile_dropdown
CD guarantee for the next-generation photomasks with CD-SEM
Takayuki Iwamatsu, Koji Hiruta, Hiroaki Morimoto, et al.
We evaluated an advanced CD-SEM as a photomask CD guarantee tool. Measurement repeatability was 2.3 nm (3 (sigma) ) for each measurement, and reproducibility (the range of average value in 5 days) is 3 nm. Contamination effect was evaluated by measuring some isolated Cr line and isolated space patterns. The contamination effect on CD measurement value was estimated as 0.02 nm/scan (reticle scale) from the result of 500 scans at the same position. The results were quite different from the results of the aerial image of around 0.3 nm/scan (reticle scale) evaluated by MSM100 ((lambda) equals 248 nm). Difference between these two evaluation results was considered to be due to the transmittance reduction of substrate. There were no degradation on measurement repeatability and no image shift from charging effect for most severe condition (0.4 micrometer Cr isolated dot, global coverage of 20%, and local coverage of 0.05% under the magnification of 88,000 X).
Poster Session: Defects, Inspection, and Repair
icon_mobile_dropdown
Advanced mask printability analysis using TINT Virtual Stepper System
Zygo Advanced Imaging Group's TINT Virtual Stepper Defect Analysis System's ability to predict CD printability of various known features on photomasks is tested. These features are analyzed with the TINT Virtual Stepper (VSS) software using known stepper parameters, and subsequently printed using a stepper with the same parameters. CD measurements, SEM imaging, and CD SEM analysis of the feature sites are compared to determine the ability of the TINT Virtual Stepper to accurately simulate feature CD printability.
Poster Session: Photomask Patterning
icon_mobile_dropdown
Reticle writer for next-generation SEMI mask standard: mask handling and exposure
The world semiconductor industry is currently preparing itself for the next evolutionary step in the ongoing development of the integrated circuit, characterized by the 0.18 to 0.15 micrometer technology. The already complex engineering task for the mask tool makers is furthermore complicated by the introduction of the new SEMI reticle standard with a 230 mm by 230 mm large and 9 mm thick quartz glass blank that will have a weight of more than one kilogram. The production of these advanced masks is already identified as a key enabling technology which will stretch the capabilities of the manufacturing process, and its equipment, to the limit. The mask making e-beam system Leica ZBA320, capable of exposing a 230 mm reticle and featuring the variable shaped beam approach with a 20 kV accelerating voltage has been introduced recently. Now the first results of e-beam exposures with this new type of mask writer are presented. Enhancements form the previous generation system include improved deflection systems, stage metrology, pattern data handling, and an address grid down to 10 nanometers. This system's specified performance enables it to produce reticles designed to support semiconductor fabrication utilizing 180 nanometer design rules, and beyond, with high accuracy and productivity.
Making high-performance scattering-bar OPC masks with vector-scan, variable-shaped e-beam, and raster-scan laser mask writers
Hao Hsing Lu, Raymond Hwang, Vincent Lee, et al.
Both the variable-shaped, vector scan e-beam (HL-800M) and raster scan laser (Alta 3000) mask writers are well-suited for making SB OPC style masks. We describe the technical merits and challenges with vector scan e-beam to make successful SB OPC masks for 0.18 micrometer and 0.25 micrometer device generations. We found that raster scan laser mask writers work well for producing SB OPC masks qualified for advanced i-line or DUV processes with minimum linewidth of 0.25 micrometer to 0.35 micrometer. To make consistently high quality binary SB OPC masks, we have developed the ExactimaskTM process, which comprises robust PBS and otical resist processes combined with well-controlled wet chrome etch. Using vector scan e-beam exposure, the minimum resolution achieved is slightly below 0.24 micrometer on mask. The chrome pattern linearity is within plus or minus 20 nm over a range of feature widths from greater than 20.0 micrometer down to less than 0.4 micrometer. The CD uniformity is about 38 nm (maximum X and Y CD range within approximately 130 mm2 area measured on a 1.0 micrometer dense CD target. Using our laser mask writer, we have obtained below 0.5 micrometer minimum resolution for chrome features. The Exactimask performance is evaluated in terms of targeting for zero bias, CD uniformity, CD linearity, pattern fidelity, etc. We show die-to-database defect inspection results on MicroUnity's RTP9 and DSM3000 test masks as inspected by an Applied-Orbot RT-8000 system.
Poster Session: Defects, Inspection, and Repair
icon_mobile_dropdown
Implementation and performance of a femtosecond laser mask repair system in manufacturing
Richard A. Haight, Dennis Hayden, Peter Longo, et al.
Current laser based tools for removing Cr defects are fundamentally limited due to the thermal nature of ablation carried out with nanosecond pulses. Conversely, ablation carried out with femtosecond pulses of light removes Cr in a non-thermal process. As a result, the problems of metal splatter, haze, reduced transmission and pitting of the underlying quartz common to nanosecond ablation are virtually nonexistent with femtosecond ablation of Cr. In this paper we describe a femtosecond pulsed laser mask repair system which is presently operating successfully in a manufacturing environment.
Poster Session: Advanced Mask Technology
icon_mobile_dropdown
Subresolution assist feature tolerences for contact windows using 193-nm lithography
Armen Kroyan, Pat G. Watson, Raymond A. Cirelli, et al.
Combining assist features with appropriate off-axis illumination conditions can significantly improve depth of focus and uniformity of critical dimensions of contact windows. It is known that sub-resolution assist features modify the environment of isolated features in a fashion that they appear dense. In recent years the impact of assist features was mostly studied for gate-level lithography. In this paper the placement and dimension control of assist features for contact windows are examined and analyzed using 193 nm lithography in conjunction with a state-of-the-art single layer resist. Our study is primarily done for 160 nm contact windows, and it is based on experimental data obtained from critical dimension measurements with varying focus, exposure dose, and in different environments. Along with optical proximity corrections we use off-axis illumination technique which increases depth of focus of contact windows and improves the overall process latitude. Specifically for this study we have designed a test photomask with different geometries and pattern densities of contact windows with and without assist features to be used at 193 nm wavelength. To study the proximity effects, different sizes of assist features were used as well as the distances of assists from the main feature were varied. The results have shown that while increasing the process latitude for the primary feature using assist slots in combination with off-axis illumination, the resist thickness and contrast are limiting the assist feature dimensions that can be used. Assist features appear to significantly increase critical dimension uniformity of the contact windows when using both conventional and off-axis illumination techniques, and they dramatically increase the common exposure dose latitude for contact windows with densities from near-isolated to dense, decreasing the proximity effects. Assist feature technique combined with quadrupole illumination demonstrates about 0.3 micron improvement in depth of focus for every type contact window pattern used.
Poster Session: Photomask Patterning
icon_mobile_dropdown
Resist charging in electron-beam lithography
Min Bai, Daniel S. Pickard, Corina Tanasa, et al.
Electron beam exposure of masks and wafers results in charging of the insulting resist film. This charging results in an electric field which deflects incoming electrons and can be a serious source of pattern placement error in electron-beam lithography. In earlier work (Ingino et al. 1992) the surface potential was found to be positive or even zero under certain conditions. In this study, a model is developed to explain this effect and the surface potential is measured by an independent method, a Kelvin probe non-contacting electrostatic voltmeter. This new study confirms qualitatively the findings of the first study. An area of PBS resist measuring a few square millimeters is exposed using a Gaussian focused probe and moved under the Kelvin probe immediately after exposure to measure the surface potential. Thicker resist tended to charge more negatively. The model and experiments confirm early studies that the surface potential is a function of resist thickness, and that there may exist a resist thickness where the surface charge is essentially zero.
Comparison of writing strategies subject to resist heating
During electron beam (e-beam) exposure, the temperature of the resist varies locally, causing critical dimension distortion. An experimental comparative study of resist heating at 50 kV for a number of writing strategies was made. Exposure, materials, and processing were equal; the same e-beam column was used, but beam deflection was modified so that raster scanning could be compared to vector scan beam (VSB) exposure at high throughput. These strategies were compared for single- pass and four-pass exposures. Simulations of temperature rise were done using the TEMPTATION (Temperature simulation) software tool. The maximum temperature rise was 38 degrees Celsius for 614-micrometer wide raster exposure, while over 220 degrees Celsius for VSB exposure. Good agreement of simulation and experiment was found. A 16% effective dose change due to resist heating was found at full coverage, single-pass exposure, while in VSB, this value was over 200%. These results indicate that raster writing has an advantage over VSB exposures with regard to resist heating.
Poster Session: Resolution Enhancement Techniques (OPC/PSM)
icon_mobile_dropdown
Application of HT-PSM to 180-nm logic devices
Yasutaka Kikuchi, Takashi Seno, Kensuke Kawanabe, et al.
Currently various techniques, such as OPC, Beam proximity correction, are under development aiming at volume production of 180 nm logic devices. 180 nm lithography requires to handle critical dimensions below the light wavelength of stepper, HT- PSM is considered to be a potential solution for securing a certain process margin, and it is the case not only for 'hole' patterns but for 'line' patterns. On 180 nm device, since the CD on reticle is sub-micron, uniformity control across iso- dense area and CD linearity become very difficult compared with simple cell-repeating patterns like memory devices. Here, under the assumption that we apply MoSiON HT-PSM to 'line' pattern of 180 nm device, we will report various evaluation results which are mainly related to mask making process. The conclusion is that HT-PSM has advantages over binary mask when it is applied for 'line' patterns, and we could fulfill those reticle requirements by optimizing conditions of materials, dry-etcher, writing tools and beam/resist combination.
Poster Session: Advanced Mask Technology
icon_mobile_dropdown
Rapid at-wavelength inspection of EUV mask blanks by photoresist transfer
Steven J. Spector, Donald L. White, Donald M. Tennant, et al.
We have developed a new technique for at-wavelength inspection of EUV mask blanks. In this technique a thin layer of EUV photoresist is applied directly to a mask blank which is then flood exposed with EUV light and partially developed. We have demonstrated using atomic force microscopy (AFM) that a change in reflectance of only 12% can cause an approximately 50 Angstrom mound in ZEP photoresist. We propose that such mounds could be detected by existing optical inspection tools, and have demonstrated the detection of similar features in ZEP (created using electron beam lithography) by an automated darkfield optical scanning instrument. The greatest advantage of the technique is speed, since both steps (EUV flood exposure and optical inspection) can be done rapidly. Difficulties, such as contamination and resist roughness, are discussed along with possible solutions.
Poster Session: Defects, Inspection, and Repair
icon_mobile_dropdown
Lithography process calibration with applications in defect printability analysis
Shao-Po Wu, Hua-Yu Liu, Fang Cheng Chang, et al.
Lithography process simulation has proven to be a useful and effective tool for process characterization, namely, properly characterize critical dimension (CD) variations from the design that are caused by proximity effects and distortions introduced by the patterning tool, reticle, resist processing and etching. Accurate lithography process simulator further enables process engineers to automate the tasks of advanced mask design, verification and inspection that are used in deep-sub-micron semiconductor manufacturing. However, to get the most benefit from process simulations, we should properly calibrate the simulation model according to the process to be characterized. That is, given a representative set of CD measurements obtained from the process, we fine-tune the process model parameters so that the simulated/predicted CDs well match the measured CDs. By doing so, we can ensure to some extent that process simulations give sensible results to be used in the design analysis, verification and inspection applications. In this paper, we would like to demonstrate the possibility of obtaining an accurate process model for lithography process simulations via model calibration. We will also demonstrate the accuracy of calibrated process simulations by applying the calibrated model in mask defect printability analysis. For simplicity, the process model and the algorithms used in model calibration will not be discussed in this article but in our future publications. In Section 2, we present the characterization and calibration of a 0.18 micrometer DUV lithography process using positive chemically amplified resist (APEX-E) as an example. We describe the test pattern selections, the calibration process, and the performance of the calibrated model in terms of predicting the CD measurements given test patterns. In Section 3, we briefly describe the technology of defect printability analysis based on process simulations. We will demonstrate that with the help of calibrated process simulations, we can quite accurately predict the printabilities of various test defects.
Keynote Address
icon_mobile_dropdown