Proceedings Volume 3048

Emerging Lithographic Technologies

David E. Seeger
cover
Proceedings Volume 3048

Emerging Lithographic Technologies

David E. Seeger
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 7 July 1997
Contents: 8 Sessions, 43 Papers, 0 Presentations
Conference: Microlithography '97 1997
Volume Number: 3048

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Electron-Beam Writing
  • Novel Resist Technology
  • X-ray Technology I
  • X-ray Technology II
  • Critical Lithographic Parms: Masks, Positional Accuracy
  • Novel Lithographic Technologies
  • Poster Session
  • Novel Resist Technology
  • Poster Session
  • Critical Lithographic Parms: Masks, Positional Accuracy
  • Poster Session
  • Plenary Session
Electron-Beam Writing
icon_mobile_dropdown
Impact of the Coulomb interaction effect on delineating densely repeated 0.1-um patterns using electron-beam block exposure
Kimitoshi Takahashi, Satoru Yamazaki, Manabu Ohno, et al.
In the electron beam (e-beam) block exposure lithography, the coulomb interaction effect is a critical problem. In this paper, impact of the coulomb interaction effect on delineating 0.1 micrometer/0.2 micrometer L/S patterns is investigated. We have delineated 0.1 micrometer/0.2 micrometer L/S patterns and have found that line widths and delineation conditions are greatly dependent on the shot current. Two methods for reducing the shot current were examined. By narrowing the line width of L/S patterns from 0.15 micrometer to 0.05 micrometer, the contrast of the e-beam profile increased from 16% to 87% and the dose latitude increased from 0 (mu) C/cm2 to 18 (mu) C/cm2. On the other hand, by reducing the shot size from 4.5 micrometer multiplied by 4.5 micrometer to 1.5 micrometer multiplied by 4.5 micrometer, the contrast of the e-beam profile increased from 16% to 61% and the dose latitude increased from 0 (mu) C/cm2 to 4 (mu) C/cm2. Even though both methods reduce the shot current 1/3, further resolution enhancement is obtained for the former method. We have found that reducing shot current by narrowing the line width is preferable in order to enhance the resolution of L/S patterns.
0.15-um pattern formation using cell projection electron-beam direct writing with variable shot size
Takao Tamura, Hiroshi Yamashita, Ken Nakajima, et al.
An improved proximity effect correction method including Coulomb interaction effect correction for Gbit DRAMs is presented. When cell projection (CP) electron beam (EB) direct writing is applied to both the cell array region and peripheral region of DRAMs, cell projection shot (CPS) size must be optimized to prevent both the proximity effect and Coulomb interaction effect. Moreover, based on the results of Monte Carlo simulation, it has been shown that optimum doses of each shot must be calculated taking into account the beam blur. These optimum doses are dependent on line width and different even among patterns which have the same pattern density. We obtained 0.15-micrometer lines and spaces (L/S) patterns using a cell projection EB direct writing with the improved correction method.
One-dimensional calculation method for proximity effect correction in cell projection electron-beam direct writing
Yasuhisa Yamada, Takao Tamura, Ken Nakajima, et al.
Electron beam (EB) direct writing has often been used for advanced device development because the dimensions of the pattern required for advanced device fabrication are below the maximum resolution of applicable optical lithography. Recently, EB direct writing has been put to practical use in advanced device production using the cell projection (CP) method, which drastically increases the writing throughput of an EB direct writing system. When CP EB direct writing is used to produce advanced DRAM, however the handling of a large volume of data becomes an important issue. A data preparation system with simple proximity effect correction, which is suitable for the CP method, is therefore required for the reduction of the data preparation time (conversion time). We therefore propose the improved proximity effect correction, which is based on the self-consistent method, using a one dimensional calculation method for CP direct writing. This method can drastically reduce the exposure intensity calculation time because the calculation of a linear integral can be substituted for that of a surface integral. The conversion time to fabricate a 0.15 micrometer Gbit DRAM (10.3 multiplied by 109 rectangle patterns) with a CD accuracy within plus or minus 5%, was reduced to be only 10% of original elapsed time (in conventional 2-D correction method).
Development of electron-beam lithography for power semiconductor devices
Vladimir A. Zlobin, Olga G. Vasiljeva
The power semiconductor device made by employment of electron- beam lithography is considered. The ZBA-21 tool with modified data system was applied for lithography. Our exposure strategy was developed for increase of writing speed. The analytical solution for the optimum beam size was obtained, and the lower limit of maximum beam size has been calculated for layouts of power semiconductor devices. A new translation program dividing layout on standard figures was used. At the output this program gives the rectangles having widths with divisible sizes. The maximum beam size is chosen as greater common divisor of these sizes. This strategy permits to use the beam current more effectively, to decrease waste time on dynamic focusing, and to smooth the temperature field. The making of static induction thyristor by employment of electron-beam lithography is cited as an example. The reproducibility of element's sizes on wafer has been investigated.
Three-dimensional electron-beam lithography simulation
A new model called ProBEAM/3D is introduced for the simulation of electron beam lithography. Monte Carlo simulations are combined with a beam shape to generate a single 'pixel' energy distribution. This pixel is then used to write a pattern by controlling the dose of every pixel on an address grid. The resulting dose pattern is used to expose and develop a resist to form a three-dimensional resist pattern.
Novel Resist Technology
icon_mobile_dropdown
Positive-tone conducting electron-beam resists
Maggie A. Z. Hupcey, Christopher Kemper Ober
Many lithographic methods are being examined for high throughput, sub- 0.15 micrometer lithography including e-beam lithography. With current resist materials, high throughput and resolution is limited by charging of the insulating resist which leads to image distortion of the pattern. We have developed a new family of resists based upon graft copolymers of PMMA and poly(hexylthiophene) that offer fast (less than 30 (mu) C/cm2) positive-tone imaging with the ability to provide for charge dissipation.
Conducting polyaniline coatings for submicron lithography and SEM metrology
Teresita O. Graham, Marie Angelopoulos, Bruce Furman, et al.
Polyaniline is a family of soluble, electrically conducting polymers that has a potential for a number of applications, in particular in the area of lithography. This paper focuses on a new family of water soluble conducting polyanilines made by oxidatively polymerizing aniline monomers on a polymeric acid template. The resulting polyanilines readily dissolve in water. These polymers are found to function as effective spin- applied, removable discharge layers for electron-beam lithography. In addition, they also provide a non-destructive method of performing high resolution scanning electron microscopic (SEM) metrology of masks or device wafers. It will also be shown that polyaniline can be patterned with submicron resolution. First, polyaniline itself can be inherently imaged resulting in a water developable, negative conducting resist capable of 0.25 micrometer resolution. In addition, a number of indirect methods of patterning polyaniline using conventional photoresist technology are described.
Influence of optical nonlinearities of the photoresist on the photolithographic process: applications
Using a new simulation method, the influence of refractive index changes during the bleaching of the photoresist on process parameters is investigated. For standard applications using thin resists, refractive index changes above 0.1 result in considerably modified dose latitudes, swing curves and iso- dense bias. In special applications with thick resists, the same effect occurs for much smaller refractive index changes. Optimized refractive index changes can be used for the fabrication of structures with high aspect ratios.
X-ray Technology I
icon_mobile_dropdown
Evaluation of aerial image in XRL
Moonsuk Yi, Ohyun Kim, Srinivas B. Bollepalli, et al.
The optimization of the lithographic process requires the definition of a figure of merit to gauge the quality of the image. Several ad-hoc approaches have been used in the past, but there is not yet a method which is clearly the most effective. Here we introduce the concept of energy error map, obtained by comparing the aerial image with an ideal target pattern. One is target aerial image which we want to define on the wafer, the other is aerial image which is calculated at each process conditions. Given a target pattern B, in the form of a matrix, and an aerial intensity map A, we define the energy error map as (A - A (DOT) B) plus (A - A (DOT) B). We also used the total sum of error map between target aerial image and calculated aerial image as figure of merit in quantifying the quality of images. With the calculated energy error map, we also proposed first-order proximity-corrected mask pattern which is based on the distribution of the energy error in error map.
Sloped irradiation techniques in deep x-ray lithography for 3D shaping of microstructures
Gregor Feiertag, Wolfgang Ehrfeld, Heinz Lehr, et al.
Deep x-ray lithography (DXRL) makes use of synchrotron radiation (SR) to transfer an absorber pattern from a mask into a thick resist layer. For most applications the direction of the SR beam is perpendicular to the mask and the resist plane. Subsequent replication techniques, e.g. electroforming, moulding or hot embossing, convert the resist relief obtained after development into micromechanical, microfluidic or micro- optical elements made from metals, polymers or ceramic materials. This process sequence is well known as the LIGA technique. The normal shadow printing process is complemented and enhanced by advanced techniques, e.g. by tilting the mask and the resist with respect to the SR beam or aligned multiple exposures to produce step-like structures. In this paper a technology for the fabrication of multidirectional inclined microstructures applying multiple tilted DXRL will be presented. Instead of one exposure with the mask/substrate assembly perpendicular to the SR beam, irradiation is performed several times applying tilt and rotational angles of the mask/substrate assembly relative to the SR beam. A huge variety of 3-D structures can be obtained using this technique. Some possible applications will be discussed.
Membrane distortions in x-ray masks due to specific absorber features
Adam H. Fisher, Roxann L. Engelstad, Matthew F. Laudon
Finite element models have been created to investigate the local effect of pattern placement and absorber stresses. Models of an x-x ray mask membrane have been developed which include a centrally-located absorber strip. Using these models, the magnitude and location of the maximum in-plane distortions (IPD) and out-of-plane distortions (OPD) have been determined. The IPD data from the finite element models for the absorber strip have been compared to a closed-form analytical solution. In addition, the finite element models have been further developed to include feature patterns more closely resembling the absorber patterning used in the manufacturing of actual circuit designs. In this paper, finite element results of both the local and global in-plane distortions due to the placement of the numerous absorber features are presented. With these models it is possible to predict the distortion of the membrane due to absorber features prior to the construction of the mask, allowing this modeling process to be used as a predictive tool to correct for pattern placement errors in the e-beam writing process.
Simulation of x-ray mask defect printability
Srinivas B. Bollepalli, Scott Daniel Hector, Juan R. Maldonado, et al.
The printability of defects in x-ray masks was simulated in three dimensions using the CXrL toolset software developed at the University of Wisconsin and resist dissolution software developed in a collaboration between University of California at Berkeley and Motorola. Isolated defects on mask membranes and isolated defects on pellicle membranes mounted behind the mask membrane were modeled. Defects close to x-ray absorber features and absorber fabrication defects were also considered. Spheres and parallelepiped defect shapes composed of PMMA, ammonium sulfate, and stainless steel were modeled at exposure gaps in the range 10 - 50 micrometers. Attenuation of a variety of potential defect materials was calculated for the IBM Advanced Lithography Facility Helios synchrotron source and beam-line x-ray spectrum. The dose-to-clear for 400 and 500 nm thickness APEX-E films was then used to predict what thickness of defect material would result in a printed defect. Image formation model predictions of defect printability in APEX-E resist were compared to attenuation calculations, indicating that defect shape and x-ray phase shift in the defect material has a profound impact on defect printability for materials that are not highly attenuating. Spheres printed more readily than parallelepipeds. Increasing the exposure gap reduced printability slightly. Experiments to determine the printability of organic spheres added to x-ray masks were compared to simulation to verify its accuracy. Based on modeling results, the minimum size of isolated defects on x- ray masks that printed are presented. The minimum size of defects that changed printed line-width were also discussed. Based on these results, defect inspection sensitivity, cleaning capability, and repair resolution for less than or equal to 175 nm line-width x-ray masks can be established.
X-ray Technology II
icon_mobile_dropdown
High-volume production stepper for x-ray lithography
Klaus Simon, R. Macklin, Robert A. Selzer, et al.
The prototype of a high volume production stepper for x-ray lithography, designed and built by Suss Advanced Lithography, Inc. (SAL), has been installed for beta testing at the Center for X-ray Lithography (CXrL) at the University of Wisconsin. SAL has put substantial efforts into the design and construction of this third generation x-ray stepper in order to meet industry requirements, mainly throughput, CD-control and overlay. Several design changes -- compared to the second generation XRS200 -- have been implemented to assure those goals. This includes a scanning beamline end to achieve exposure times of 1 sec as well as a high speed xy-stage for combined step end expose times below 2 seconds. Together with an improved optical alignment system (ALX70) for overlay accuracy below 50 nm (3(sigma) ) and a state-of-the-art loading/unloading wafer handler the measured throughput is currently between 26 WLPH (32 fields/200 mm wafer). Proximity gap is controlled to an accuracy of plus or minus 0.4 micrometer while minimum proximity gap is currently at 20 micrometer. Mask and wafer chuck are mounted kinematically to avoid mechanical stress to either mask or wafer for improved distortion control. A 3-axis-state-of-the-art HP laser interferometric system with a resolution of 2.5 nm controls mask to wafer movement to better than 20 nm. While this prototype is designed for wafer sizes of 75 to 200 mm, design changes are on the way to enable 300 mm wafer handling with increased throughput. Results obtained during a GaAs based MMIC and QWIP device fabrication program with 0.2 micrometer CD, demonstrate consistency with the prototype specification. Areas have been defined to further enhance the capabilities of the production stepper XRS 2000.
Single-stepper soft x-ray source for step-and-scan tools
Melvin A. Piestrup, Michael W. Powell, Stanley Mrowka, et al.
As a synchrotron equivalent, this paper presents a single- stepper, soft-x-ray source which offers high brightness, high collimation (less than 20 mr global and less than 2 mr local), modest operating vacuum, excellent spectrum and moderate cost. The x-rays are generated by a process called transition radiation (TR). Electrons of moderate energy (e.g. 17 - 100 MeV) pass through thin-metal foils producing a forward- directed cone of x-rays whose photon energies can be between 0.5 and 3 keV. The optimum radiator consists of many thin- metal foils, e.g. beryllium, which are separated by vacuum. The x-ray wavelength an be optimized for highest photoresist sensitivity, e.g. 1.4 nm. A computer simulation shows that for beam-shaping (slit formation) and collimation, a single grazing-angle optic transforms the radiator cone into a slit (5 mm by 26 mm) in the 1X wafer image plane, having an energy density of 15 - 60 mJ/cm2. This slit is then scanned for dose uniformity. In a proof-of-principle experiment, an apparatus utilizing a Au-coated grazing-angle optic was used to focus transition radiation to a slit (7 mm by 16 mm) in the image plane at 631 mm from the optic and 881 mm from the TR radiator. Intensity variation across the longitudinal direction (approximately 10 mm) of the slit was less than 5%.
X-ray phase mask: nanostructures
In this paper we report the results of simulations and experiments on application of phase-shifting mask to x-ray lithography (XPM). We have built an XPM with PMMA as a phase shifter; we printed patterns using the XPM and characterized the linewidth dependence on gap and dose. Small lines around 70 nm were printed at 25 micrometer gap. The resist lines have good uniformity, and aspect ratio as high as 4. The characterization experiment was performed on the Karl Suss X- ray Stepper installed at the Center for X-ray Lithography. The results show that the exposure-gap latitude window is obviously increased compared with traditional x-ray mask. XLITH has been used to analyze the aerial image under the XPM and predict linewidth. Different phase shift materials, such as PMMA, Si, SiC and Al have been simulated and characterized with respect to blur, dose and gap. The model predicts a mask contrast 6 - 7, and resolution of 50 nm using gaps around 5 micrometer. XPM is a path to nanostructures with more reasonable process latitudes. We printed sub-0.1 micrometer dots using XPM. The XPM can also be used for gate-level lithography of ultrafast MOS devices to share its high resolution and large process latitude. It is possible to form both of a fine gate line and a contact pad at the same time with the combination of phase and transmission in a single mask. An experimental demonstration of printing unclosed lines is given in this paper. The further combination of phase-edge effects and phase-shifter size can also make it possible to form a wide range of patterns, ranging from line-space to isolated bright or dark areas with varied sizes, in a single exposure.
Metrology and quantification of micromilled x-ray masks and exposures
Craig Friedrich, Philip Coane, Joe Goettert, et al.
Micromechanical milling has been shown to be a rapid and direct method for the production of x-ray masks with features within the process limits. A method for compensating milling tool run out has been adapted and the tolerance of mask absorber features has been reduced to approximately 0.5 micrometers. The milling process leaves some absorber burrs and the absorber is also tapered at the machined wall which introduces an additional process bias, both of which add to exposure degradation. Nevertheless, both of these effects can be greatly reduced with further work.
Critical Lithographic Parms: Masks, Positional Accuracy
icon_mobile_dropdown
Cost of mask fabrication
Walter J. Trybula, Daren L. Dance
The development of the cost of ownership methodology provided the semiconductor industry with a process that is employed to evaluate the life cycle costs of any particular equipment. Applying this technique has provided a cost focus on areas of potential improvement. The existing methodology is equipment centric. The limitation of this process is that there has not been a means of evaluating the impact of the cost of ownership for a process. An evaluation of process requirements indicated that such a tool would provide an advantage for evaluating not only the process flow cost but also allocate the individual cost of ownership values according to the planned volumes and yields. This would not be the comprehensive evaluation that can be done with dynamic simulation, but a static first approximation at total process costs based on a combined process flow. This paper describes the application of this new process to the development of the process cost of ownership to the optical mask production process. The program employed in work, PRO COOLTM, was developed by WWK in conjunction with SEMATECH. This paper describes the application of process cost of ownership to the optical mask production process sequence. Using a generic mask fabrication flow, process sequence cost of ownership analysis is used to identify cost drivers, throughput limitations, and process cost sensitivities. This generic process flow consists of the data evaluation and general number crunching requirements at the beginning of the process, followed by exposure, develop, inspection, measure, CD, pelliclize, inspect, and ship. Understanding of the relationship of these factors will help evaluate future mask fabrication technologies and requirements. Analyzing a generic optical mask production process sequence showed that the simple approach of adding process step cost of ownership values underestimates the process cost of ownership. Thus a complete analysis must consider the cost of unused capacity in the process sequence. The cost of unused capacity is correlated to the production throughput rate of the bottleneck tool. Capacity analysis helps to identify the bottleneck tool under static conditions, however, process and reliability variation can create short-term bottlenecks which must also be considered.
Active noise cancellation technique for highly accurate EB lithography systems
Koji Nagata, Masahide Okumura, Norio Saitou, et al.
We propose a compensation method, which we call the active noise cancelation technique, to improve the pattern positioning accuracy in electron-beam (EB) lithography. This compensation method corrects pattern positioning error by eliminating EB vibration at the power-supply (PS) frequency (50 or 60 Hz), which is one of the main causes of the error. In this compensation method, a compensatory signal is generated by extracting one period from the EB vibration, which is measured before exposure. During exposure, this signal is added to the deflection-control signal. Because the EB is constantly deflected in the direction opposite to the EB vibration, the pattern positioning error due to EB vibration can be corrected. To evaluate the improvement of the accuracy of an EB lithography system with this compensation method, we applied it to our EB lithography system (HL-800 series). We found that the EB vibration at the PS frequency, whose amplitude was about 0.03 micrometer without the use of this compensation method could be reduced to less than 0.01 micrometer with the compensation. We also evaluated the stitching accuracy between stripes of continues stage moving. Without compensation, the accuracy (mean plus 3 sigma) was improved from 0.064 micrometer in the X direction and 0.044 micrometer in the Y direction to 0.027 and 0.014 micrometer respectively by using this compensation. Therefore, we confirmed that this compensation method was effective to improve the accuracy of EB lithography systems.
Scattered-light alignment system using SiC mask for x-ray lithography
Tsutomu Miyatake, Masaoki Hirose, Tsutomu Shoki, et al.
We propose a novel alignment method using scattered-light, which has high sensitivity to a silicon carbide x-ray mask without coating antireflection films and opaque film. The scattered-light alignment system is a video-based alignment utilizing the white-light (lambda equals 400 - 700 nm in wavelength) scatted on pattern edge of the alignment marks. A two dimensional periodic array is used for both mask and wafer marks. The scattered-light are focused onto field charge coupled devices camera through lenses by a magnification of 100 times. The alignment optical unit equipped with the field camera is located out of x-ray exposure field. Mask to wafer displacement is detected by means of video image processing. We have obtained position-sensing repeatability of 4.8 nm (3 sigma) by using combination between the polished silicon carbide mask and nitride processed wafer. The alignment signal indicated a high signal to noise ratio of 41.9 and 33.4 dB for the mask and wafer marks, respectively.
Highly accurate alignment technology for electron-beam lithography in mix-and-match with optical stepper
Yoshinori Nakayama, Yasuko Gotoh, Norio Saitou, et al.
A novel alignment technology for electron-beam lithography is proposed for hybrid use with i-line steppers. This alignment technology was developed based on the evaluation of alignment characteristics and on the investigation of alignment errors in electron-beam lithography systems used in the mix-and-match process. In this alignment method, global alignment using representative chips on a wafer effectively achieves accurate overlay and high throughput. Overlay measurements showed that the deviation in the alignment error is smaller than 70 nm within 3 sigma.
Novel Lithographic Technologies
icon_mobile_dropdown
Interferometric lithography exposure tool for 180-nm structures
Saleem H. Zaidi, Steven R. J. Brueck, Franklin M. Schellenberg, et al.
Keywords: interferometric lithography, 300-mm wafer, 1 80-nm CD
SCALPEL proof-of-concept system: preliminary lithography results
Warren K. Waskiewicz, Christopher J. Biddick, Myrtle I. Blakey, et al.
We have designed, constructed, and are now performing experiments with a proof-of-concept projection electron-beam lithography system based upon the SCALPELR (scattering with angular limitation projection electron-beam lithography) principle. This initial design has enabled us to demonstrate the feasibility of not only the electron optics, but also the scattering mask and resist platform. In this paper we report on some preliminary results which indicate the lithographic potential and benefits of this technology for the production of sub-0.18 micrometer features.
Characterization of an EUV Schwarzschild objective using phase-shifting point diffraction interferometry
We report wavefront measurement of a multilayer-coated, reflective optical system at 13.4-nm wavelength performed using a novel phase-shifting point-diffraction interferometer. Successful interferometric measurements of a 10x Schwarzschild objective designed for extreme ultraviolet projection lithography with 0.1-micrometer resolution demonstrate high- precision with sub-nanometer resolution. The goal of the interferometry is to achieve wavefront measurement accuracy beyond lambda/50 rms at EUV wavelengths. Preliminary measurements are discussed and the paths toward achieving the target accuracy are identified.
Scanning array lens lithography for large-area applications
Lawrence C. Wang, David A. Markle, Raymond J. Ellis
A new optical system has recently been developed for large area lithography applications. The new optical system is referred to as scanning array lens lithography (SALLY) and is based on the well-known Wynne-Dyson 1:1 projection lens configuration that has found widespread use in the IC, thin- film head and micromachining industries. The array lens is designed to project essentially a single elongated field that can be extended to span the width of any substrate. This enables a substrate to be exposed in a single scanning motion. The array lens is composed of multiple, compact catadioptric lens relays having trapezoidal shaped image fields that are positioned in an alternating and overlapping fashion. The resulting imaging capability is comparable to that of a single very large well-corrected lens. Features exposed in the transition between separate fields exhibit no visible variations in their structures. This paper describes the system configuration and test results for a three-field prototype SALLY system composed of lens relays with a numerical aperture (NA) of 0.10. This NA was selected to provide a useful combination of resolution, depth-of-focus (DOF) and exposure irradiance for large area and thick resist applications. SEM results from field-to-field measurements demonstrate that a seamless transition between separate image fields can be achieved. Resolution of equal line/space patterns down to 2.3 micrometer has been attained. In addition, thick resist imagery showing thickness to linewidth aspect ratios of greater than 8.5:1, in 33 micrometer thick resist is shown.
Poster Session
icon_mobile_dropdown
Stability and stiffness characteristics of the national x-ray mask standard
Adam H. Fisher, Michael A. Sprague, Roxann L. Engelstad, et al.
Finite element analyses have been performed to investigate the stability and stiffness characteristics of the ARPA-NIST National X-ray Mask Standard. The use of different materials (such as silicon carbide and Pyrex) for the support ring has been studied to identify the effects on the maximum in-plane mounting distortions (within a 50 mm by 50 mm patterned area). Additional finite element calculations have been completed to determine the out-of-plane distortions (or bowing) of the mask due to the fabrication process. Parametric studies have been performed to identify the stiffness characteristics of the mask as the overall ring thickness is reduced while the wafer thickness is increased. Results show how various design parameters can be controlled to repeatedly fabricate masks that fulfill requirements for sub-0.13 micrometer technology.
X-ray mask replication using a Suss stepper at CXrL
Quinn J. Leonard, John P. Wallace, Olga Vladimirsky, et al.
Crucial to any viable lithographic mask technology is the requirement that a given mask pattern be usable for the hundreds of thousands of exposures in a production environment. In a conventional approach this would be accomplished by making robust masks. A better strategy to ensure the longevity of the pattern itself, is realized by producing many defect-free copies of master masks. This approach is especially important in the case of x-ray masks, although the optical masks also have a limited usable lifetime. X-ray mask generation is accomplished today via e- beam lithography, which as a replication method has several inherent disadvantages, including low speed and high cost. X- ray replication is the best solution. In this paper, we describe the development of a mask replication method realized on a Suss x-ray stepper. The approach is based on supporting parent mask and the daughter blank in fully kinematic fixtures during replication, ensuring a minimum of distortion, excellent gap control and optimized exposure conditions. Minor modifications of the mask mounting fixtures, the replication setup, and details of processing are presented. Preliminary results of mask replication are also shown.
Power measurements of exposure radiation using thin metal film sensors
Harish M. Manohara, Kevin J. Morris, J. Michael Klopf, et al.
An exposure radiation power measurement technique utilizing thin gold film thermal sensors has been presented. The sensory system of the power meter (or calorimeter) consists of three interlaced serpentine resistors covering an area of 6 cm by 0.4 cm, functioning as a thermal sensor, a heater and a shielding electrode. The measurement principle is based on recording the change in resistance of the sensor due to heating under radiation and internal calibration. The interlaced gold sensors were fabricated using optical lithography on a 100 mm diameter silicon wafer. The power measurements have been performed at CAMD/LSU 1.3 - 1.5 GeV synchrotron source, on a 'white light' beamline (Emax approximately 4 keV). The measurement results agree with calculations within approximately 4%. The relaxation time of the calorimeter response was 90 seconds in vacuum (10-4Torr) and 18 seconds in 25 Torr helium. The power from a UV lamp of an ORIEL optical exposure station was measured using an interlaced thermal sensor and a commercial calorimeter. The results agree within 2%.
Process development for 180-nm structures using interferometric lithography and I-line photoresist
Xiaolan Chen, Zhao Zhang, Steven R. J. Brueck, et al.
A bilayer positive I-line process, based upon the use of a bottom antireflective coating and implementable on a standard processing track, is described for the production of sub-0.2- micrometer features by interferometric lithography. Pattern collapse for small, high-aspect ratio photoresist features was found to be a significant issue. The impact of a number of processing variables on pattern collapse was investigated. These variables included resist thickness, substrate reflectivity, developer concentration, post exposure bake (PEB) time and temperature, L/S pitch differences, and development and drying methods. Using a 0.8-micrometer resist thickness, a feature width of 180 nm (360-nm pitch) was attainable without a PEB, while with a suitable PEB, 150-nm features could be obtained. A reduction of resist thickness to 0.6-micrometer enables 120 nm features to be obtained without a PEB, and 100-nm features with a PEB.
Method to improve setup and overlay performance on an excimer laser stepper using a unique DUV resist: KRS
Karen E. Petrillo, James Brancaccio, Wu-Song Huang, et al.
Accurate stepper setup is essential for getting good overlay performance on product wafers. As part of a typical stepper setup procedure, one or more wafers are exposed, developed, aligned to the initial exposure, and then exposed again in order to determine the x/y baseline position and grid rotation. By removing the wafer from the chuck for processing (baking and development) before the alignment and exposure, both pre-aligner and stage errors are introduced. If the alignment and second exposure could be made without removing the wafer from the stepper chuck, these two sources of error could be eliminated. This is possible if the resist has a strong and immediate latent image after exposure.
Fabrication of high-performance MSM photodetectors on SOI with nanometer-scale scattering buried backside reflectors
Erli Chen, Stephen Y. Chou
We present the fabrication and performance of a metal- semiconductor -metal (MSM) photodetector with high efficiency and high speed. The MSM photodetector is fabricated on a SOI substrate with a 170-nm-thick Si active layer. A scattering backside reflector, consisting of inverted pyramids with 193- nm-long sides and 54.7 degree slopes, is buried underneath the active layer. This scattering buried backside reflector (SBBR) causes the trapping of light inside the active layer, resulting in an MSM photodetector with a response time of 5.4 ps and responsivity comparable with those on bulk Si at both the visible and infrared.
Effect of SiC x-ray masks on alignment accuracy of heterodyne alignment
Hajime Aoyama, Fumiaki Kumasaka, Yoshihisa Iba, et al.
This paper describes the results of a study to investigate the applicability of silicon carbide (SiC) x-ray masks to an optical-heterodyne alignment technique. SiC was deposited at a thickness of 2 micrometer on a 4 inch silicon (Si) wafer. Its surface roughness was improved from 15 nm Ra (geometrical average) to 0.2 nm Ra using a polishing method. Using this SiC material, x-ray masks with Ta absorber patterns for alignment marks and overlay measurement were fabricated. To obtain a high overlay repeatability, we have deposited an anti- reflection coating (ARC) on both sides of the x-ray mask, which increased an optical transmission at a wavelength of 785 nm from 37% to 73%. We have also deposited an opaque coating (OPC) on the mask alignment mark. Using the x-ray mask, the overlay repeatability by mix-and-match method was evaluated. The overlay repeatability near the X, Y and (theta) alignment marks was 21 nm, 21 nm, and 49 nm (3 sigma) for the corresponding axes. The overlay repeatability of the X and Y directions was 61 nm and 54 nm (3 sigma) in a wafer. These results are equivalent to the results obtained using SiN x-ray masks. From these results, we consider that SiC x-ray masks are applicable to optical heterodyne alignment, and can also be used in practical x-ray lithography.
Microlens direct-write concept for lithography
There are significant theoretical advantages to using arrays of high resolution microlenses for photolithography. Aberrations can be smaller, fabrication easier, and high numerical apertures more easily attainable than with conventional stepper lenses. Using an array of microlenses, a large exposure field can be printed with uniform quality. Field flatness problems can be greatly reduced because the flatness of the array is not limited by any fundamental principles, and also the array's shape could even follow the contour of the wafer. By exploiting massive parallelism, high throughput can be achieved. Thus it is possible in principle to eliminate photomasks for short turn-around production runs. Light can be modulated by several schemes in a parallel fashion as we show. Options exist to extend the basic technology into the near x-ray region by using micro mirror objectives of the Schwarzchild type. In order to match the throughput of a wafer stepper, we envision approximately 4000 independent channels operating at about 20 megapixels a second each for a total throughput of 80 billion pixels per second. The illumination source should be a cw laser which would minimize damage to optical elements. A suitable laser might be a 266 nm neodymium YAG laser achieved by frequency quadrupling.
Measurement of midspatial frequency scatter in extreme ultraviolet lithography systems using direct aerial image measurements
Charles H. Fields, Avijit K. Ray-Chaudhuri, Kevin D. Krenz, et al.
The technique of direct aerial image measurements (AIM) is applied to the evaluation of the mid-spatial frequency scatter produced form an extreme ultraviolet (EUV) laser plasma based microlithography testbed at Sandia National Laboratories in Livermore, Calif. AIM provides an at-wavelength technique for the in-situ characterization of the EUV images produced from the Scharzchild 10X reduction camera. The AIM technique measures the aerial images of the system by sampling the light intensity that passes through a narrow scanning slit (90 nm) and impinges upon a silicon photodiode designed to have a high quantum efficiency at EUV wavelengths. The measurements scan the slit artifact at the image plane across a step-function aerial image generated by the 10X reduction camera. The results of these measurements produce a measurement of the baseline scattered light and the modulation transfer function (MTF). Comparison of the measured MTF with simulated MTFs produces a measure of the combined multi-layer surface roughness variance ((sigma) rms2).
Novel Resist Technology
icon_mobile_dropdown
SNR200 chemically amplified resist optimization
Janet M. Rocque, Denise M. Puisto, Douglas J. Resnick, et al.
A resist process using Shipley SNR200R chemically amplified (CA) resist has been characterized and optimized for the manufacture of 1x masks for x-ray lithography. This paper describes the processes and the experimental designs used to optimize the post-apply-bake (PAB) and post-expose-bake (PEB) that affect resist sensitivity and process latitude of SNR200 resist. The baking parameters were optimized for an electron- beam sensitivity of 20 (mu) C/cm2 at 75 kV using designed experiments, analyzed by SAS software, JMPR. This paper also shows the capability of the resist process to yield a minimum resolution less than 0.125 micrometer, a critical dimension (CD) uniformity less than 20 nm 3 sigma across a 25 mm by 25 mm membrane, and repeatability from membrane to membrane less than 25 nm 3 sigma. The dose compensation software required for electron-beam lithography to correct for electron scatter from the substrate, etc., was developed by IBM for their shaped-beam lithography systems and, with correct parameters, CD linearity plots show accurate replication to data designs ranging from 0.175 micrometer to 0.4 micrometer. The process latitude and robustness demonstrated shows that SNR200 resist is compatible with a manufacturing environment required for the fabrication of x- ray masks.
Poster Session
icon_mobile_dropdown
CD variation in 30-kV EBL due to resist heating: experiment and simulation
Distortion of critical dimension (CD) is an important problem in electron beam lithography. Two main reasons for the distortions are proximity effects and resist heating. The influence of both these factors is examined for a 30 kV variably shaped electron beam lithography system. A change of linewidth with exposure dose was experimentally measured at variable exposure conditions of a pattern. In this way, the influence of resist heating was varied while electron scattering was constant. A simulation method was developed that allows one to take into account the contribution of proximity effects and resist heating to a linewidth change. An advanced model of resist heating was used for simulation. This method can be used to predict CD change. A technique for determination of a heat-to-dose transfer coefficient was proposed.
Simulation of resist heating in electron-beam lithography
A mathematical model of resist heating was developed to anticipate the resist temperature rise during electron exposure. This model that takes into consideration the heat propagation in both resist and substrate, each of which may consist of a number of layers. A tabulation of special functions used for numerical calculation allows the analytical solution to be simplified to a single integral for both a variably shaped beam and a Gaussian beam. As a result, a dynamic distribution of a temperature field in resist can be simulated for an exposure process in terms of thickness and characteristics of the resist and multilayer substrate, as well as size, placement, and sequence of flashes. Results of simulations are shown for both short and long exposure periods. It was demonstrated that heat from the substrate does not contribute noticeably at the beginning of the high throughput exposure at 50 kV, whereas heating of the resist itself is significant and highly nonuniform throughout the depth of the resist.
Critical Lithographic Parms: Masks, Positional Accuracy
icon_mobile_dropdown
Evaluation of the Defense Advanced Lithography Program (DALP) x-ray lithography aligner
A state-of-the-art proximity x-ray lithography aligner was developed for the Defense Advanced Lithography Program (DALP) and installed in IBM's Advanced Lithography Facility (ALF) in 1995. This aligner was designed to satisfy the manufacturing requirements for 250 and 180 nm groundrule electronic devices, such as 256 Mbit and 1 Gbit DRAMs, while connected to synchrotron beamlines which use scanning beam systems for x- ray flux delivery. The aligner uses an innovative x-ray image sensor (XRIS) to align the mask by detecting its x-ray actinic image, and uses an off-axis alignment system, similar to the alignment system used in Micrascan-II, to align the wafer. As a result, the same wafer alignment marks can be used by either tool. This facilitates the mix and match between the x-ray aligner and Micrascan-II optical steppers. A stabilized helium environment is maintained from the beryllium window of the beamline to the exposure plane, including the gap between mask and wafer. The aligner can accept x-ray masks that conform to NIST standards, and has a maximum exposure field of 50 mm by 50 mm. The important lithography performance parameters, i.e., overlay, linewidth control and throughput, have been evaluated. The test methodologies and their results are presented in detail. Potential improvements of the system's performance will also be discussed.
Poster Session
icon_mobile_dropdown
Achieving subhalf-micron I-line manufacturability through automated OPC
Mario S. Garza, Eric Jackson, Wayne P. Shen, et al.
We present results of a verification study of totally automated optical proximity correction (OPC) for mask redesign to enhance process capability. OPC was performed on an aggressive 0.35 micrometer i-line LSI logic SRAM design using the automated OPC generation code Eoptimask, employing the aerial image simulation code FAIM, both from Vector Technologies, Inc. Three different tests were performed, varying in the aggressiveness and type of corrections made. The key issues addressed in this work are the predictive capability of the aerial image simulation and, particularly, the ability of automatically generated OPC to significantly improve the fidelity of the final printed resist image for different geometries. The results of our study clearly demonstrate the utility of automated OPC based on aerial image simulation. Key experimental results include: two-fold increase of depth of focus latitude; demonstration of the feasibility of full off-axis illumination on the stepper; successful resolution of different feature types (posts, lines and spaces) on the wafer to correct CD at a single common exposure and focus condition. Future research will address detailed issues in reticle manufacture and inspection which are critical for cost-effective large-scale OPC.
Fabrication process of superconducting integrated circuits with submicron Nb/AlOx/Nb junctions using electron-beam direct writing technique
Masahiro Aoyagi, Hiroshi Nakagawa
For enhancing operating speed of a superconducting integrated circuit (IC), the device size must be reduced into the submicron level. For this purpose, we have introduced electron beam (EB) direct writing technique into the fabrication process of a Nb/AlOx/Nb Josephson IC. A two-layer (PMMA/(alpha) M-CMS) resist method called the portable conformable mask (PCM) method was utilized for having a high aspect ratio. The electron cyclotron resonance (ECR) plasma etching technique was utilized. We have fabricated micron or submicron-size Nb/AlOx/Nb Josephson junctions, where the size of the junction was varied from 2 micrometer to 0.5 micrometer at 0.1 micrometer intervals. These junctions were designed for evaluating the spread of the junction critical current. We achieved minimum-to-maximum Ic spread of plus or minus 13% for 0.81-micrometer-square (plus or minus 16% for 0.67-micrometer-square) 100 junctions spreading in 130- micrometer-square area. The size deviation of 0.05 micrometer was estimated from the spread values. We have successfully demonstrated a small-scale logic IC with 0.9-micrometer-square junctions having a 50 4JL OR-gate chain, where 4JL means four junctions logic family. The circuit was designed for measuring the gate delay. We obtained a preliminary result of the OR- gate logic delay, where the minimum delay was 8.6 ps/gate.
Fabrication of submicron topology with ion- and neutral-beam etching
Ilja P. Soshnikov, Alexander V. Lunev, M. E. Gaevski, et al.
Recently the microelectronics devices fabricated on the basis of the topological structures with conic or point elements are applied widely. The traditional method of formation of the structures using an electron beam lithography, a chemical or ion beam (plasma) etching has some disadvantages. For example, the chemical etching of the submicron elements has rather low reproducibility of the geometry profile. It is clearly displayed in the formation of relief in the mesa of the submicron size. The electronic lithography and the polishing ion etching method of the cone formation is complicated due to the problem with the stability of the masking material and the resolution. What is more important the problem of the compatibility with other technological operations of the microelectronics fabrication. The authors offer the simple and reproducible method of fabrication of the submicron structure of a cone type. It bases on the formation of the surface relief by the neutral projectiles sputtering. The present paper reports the possibility of the cone relief fabrication on the wide surface and in the narrow submicron mesa by the argon neutral bombardment.
Plenary Session
icon_mobile_dropdown
Wavefront engineering from 500- to 100-nm CD
'Wavefront engineering' is the discipline of producing an exposure pattern, adequate for delineating resist at high yield, in spite of the limitations of the imaging technology. Although this discipline relies on century-old optical insights and despite decade-past experiments demonstrating dramatic improvements in resolution and process-window, this field has languished in comparison to traditional approaches, such as exposing with shorter wavelength radiation or larger numerical apertures. Further progress in NA and lambda will soon be limited by physical and materials considerations, necessitating other schemes for decreasing the critical dimensions (CDs) of volume-production devices. Today, with 193 nm systems delayed and non-optical approaches confronting infrastructure and economic barriers, the semiconductor industry is trying to adopt such wavefront engineering techniques as off-axis illumination (OAI), optical proximity correction (OPC) and phase-shifting masks (PSMs). CD/CAM methods similar to those applied to optimize lenses and chips now are being applied to optimize the exposure-dose pattern itself.
Optical lithography--thirty years and three orders of magnitude: the evolution of optical lithography tools
The evolution of optical lithography is traced back more than 30 years to its beginnings with contact printing. As the complexity of integrated circuits increased, the intolerance for defects drove the industry to projection printing. Projection printing was introduced in the early 1970s by imaging the full wafer at 1:1 magnification. The rapid increase in wafer sizes was accommodated by annular field scanning using 1:1 imaging mirror systems. Decreased linewidths and tighter overlay budgets combined with larger wafers created huge difficulties for the mask maker which weren't relieved until the introduction of reduction step-and- repeat printing of small blocks of chips in the late 1970s. Further demands for smaller linewidths and larger chips have driven optical lithography to shorter wavelengths and to scanning the chip in a step-and-scan printing mode. Future advancements in lithography will likely combine novel scanning techniques with further reductions in wavelength.
Photoresist materials: a historical perspective
This paper provides a short history of the development of resist materials. We trace the development of resists from the very beginnings of photography in the early 1800s to today's efforts to develop 193 nm resist materials.