Proceedings Volume 2793

Photomask and X-Ray Mask Technology III

Hideo Yoshihara
cover
Proceedings Volume 2793

Photomask and X-Ray Mask Technology III

Hideo Yoshihara
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 24 July 1996
Contents: 8 Sessions, 53 Papers, 0 Presentations
Conference: Symposium on Photomask and X-Ray Mask Technology 1996
Volume Number: 2793

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Photomask Process and Materials
  • Phase-Shift Mask
  • Photomask Process and Materials
  • Phase-Shift Mask
  • Photomask Process and Materials
  • Masks for X-Ray and E-Beam
  • Photomask Process and Materials
  • Phase-Shift Mask
  • Photomask Process and Materials
  • Phase-Shift Mask
  • Masks for X-Ray and E-Beam
  • Design Automation
  • Inspection and Repair
  • Equipment
  • Design Automation
  • Pelliclization
  • Equipment
  • Metrology
  • Inspection and Repair
Photomask Process and Materials
icon_mobile_dropdown
Bilevel resist process for 1-Gb DRAM reticles
Kotaro Shirabe, Eiichi Hoshino, Keiji Watanabe
As the requirement of the more tightened design rule has emerged, e-beam direct writing and x-ray lithography have been considered as next generation technology. However, due to the rapid development in photolithography, it will be employed in manufacturing 1 Gb DRAM. To overcome present optical lithographic technology, several possible techniques such as deep ultraviolet (DUV), phase shift mask (PSM), off- axis illumination (OAI), optical proximity correction (OPC), and combinations of these methods are considered. The advanced mask technology play a key role in these technologies and the tendency will be dramatically increased in the near future. Although the resolution of 4X reticle for 0.18 micrometer device is 0.72 micrometer, the feature size less than 0.72 micrometer is necessary for wafer process latitude. Therefore, critical dimension (CD) linearity below 0.72 micrometer is needed for 1 Gb reticle, and the minimum resolution less than 0.3 micrometer should be resolved for the OPC patterns. At low acceleration voltage, however, both the CD linearity and the minimum resolution are so severely affected by electron scattering that small beam size, thin resist, and dose correction will be needed for reducing this effect. In order to satisfy the higher resolution, high acceleration voltage system should be employed. In addition as the field size of device increases and process latitude on the wafer decreases, CD uniformity and defect control will be considered more serious than now. In this paper, discussion of the expected technologies, specifications, improvement of the process in manufacturing 1 Gb DRAM reticles are presented.
Manufacturing feasibility of OPC masks for 0.25-um rule devices
Hiroichi Kawahira, Mikio Katsumata, Keisuke Tsudaka, et al.
A feasibility of optical proximity effect correction (OPC) mask manufacturing with a state of the art mask fabrication processing and systems is demonstrated focusing on the 0.25 μm devices and 4X reticle generation. For realistic OPC mask fabrication, electron beam (EB) resist processing in terms of CD accuracy, mask defect inspection thoroughness and mask defect repair accuracy are studied in detail. For the positive resist process, EB proximity effect correction is applied in order to improve the linearity to meet required CD specifications. Based on such evaluation, practical criteria for OPC pattern generation are applied into an automatic OPC software. It is verified that by using the software with the criteria given, 0.25 μm memory device patterns can be corrected with a sufficient optical lithography imaging performance and a reasonable data volume. It is concluded that manufacturing feasibility of sufficiently effective OPC masks is verified as a result of concurrent development on the mask fabrication and automatic OPC software. Engineering tasks in the future are also proposed.
Phase-Shift Mask
icon_mobile_dropdown
Fabrication of dense contact patterns using halftone phase-shifting mask with off-axis illumination
Hyoungjoon Kim, Jongwook Kye, Dae-Yup Lee, et al.
We have investited the performance of the halftone phase-shifting mask (HT PSM) with various illuminations for contact patterns of different pitches in DUV photo lithography . It was found that illumination could be optimized as a function of the pitch. Highly coherent illumination was the best for isolated contact patterns but it was the worst for extremely dense contact patterns due to optical proximity effect and interference which occurred between the primary peaks and the secondary peaks of neighboring contact holes. For extremely dense contact patterns, off-axis illumination (OAI) was found to be the most appropriate compared to conventional illuminations because extremely dense contact patterns show the optical proximity effects which was observed similarly for equal line and space patterns. We found that HT PSM combined with OAI can be used for fabricating the extremely dense contact patterns of high density devices such as 256M and 1 G bit DRAM.
Photomask Process and Materials
icon_mobile_dropdown
Mask technology for 0.18-um device generation
Jung-Min Sohn, Seong-Woon Choi, Byung Guk Kim, et al.
As the requirement of the more tightened design rule has been emerged, e-beam direct writing and x-ray lithography have been considered as next generation technology . However, due to the rapid development in photolithography, it will be employed in manufacturing 1 Gb DRAM. To overcome present optical lithographic technology, several possible techniques such as deep ultraviolet (DUV), phase shift mask (PSM), off-axis illumination (OA1), optical proximity correction (OPC), and combinations of these methods are considered. The advanced mask technology play a key role in these technologies and the tendency will be dramatically increased in near future. Although the resolution of 4X reticle for 0.18 μm device is 0.72 μm, the feature size less than 0.72 μm is necessary for wafer process latitude. Therefore, critical dimension (CD) linearity below 0.72 μm is needed for 1 Gb reticle, and the minimum resolution less than 0.3 μm should be resolved for the OPC patterns. At low acceleration voltage, however, both the CD linearity and the minimum resolution are so severely affected by electron scattering that small beam size, thin resist, and dose correction will be needed for reducing this effect. In order to satisfy the higher resolution, high acceleration voltage system should be employed. In addition, as the field size of device increases and process latitude on the wafer decreases, CD uniformity and defect control will be considered more serious than now. In this paper, discussion of the expected technologies, specifications, improvement of the process in manufacturing 1 Gb DRAM reticles are presented.
Phase-Shift Mask
icon_mobile_dropdown
Development of advanced process for halftone phase-shift mask fabrication with electron-beam exposure systems
Minoru Komada, Masa-aki Kurihara, Shiho Sasaki, et al.
A new fabrication process for halftone phase-shift masks (HPSMs) with electron beam writers has been developed for mass production. It has a resist critical dimension (CD) measurement step before dry etching and it gives the determination of etching time using the relationships between CD shift (Chrome CD - resist CD) and overetch ratio. As a result, it shows a good mean CD controllability within a range of 0.03 μm for over 90% of our production HPSMs.
Evaluation of phase and transmittance error on deep-UV halftone phase-shift mask
Suigen Kyoh, Hideaki Sakurai, Takayuki Iwamatsu, et al.
The effect of phase shift and transmittance fluctuation in a mask plate have been studied. The differences of these optical properties of halftone phase shift masks result in critical dimension(CD) error on a wafer so that these fluctuation in a plate reduce the process window across the exposure field. In considering CD error budget, such factors as phase shift and transmittance has to be taken into account. To estimate this budget, a set of test masks were fabricated, in which phase shift and transmittance are varied, and the exposures using these masks under the same conditions were performed.
Photomask Process and Materials
icon_mobile_dropdown
Chemically amplified resist process for 0.25-um generation photomasks
Mikio Katsumata, Hiroichi Kawahira, Minoru Sugawara, et al.
A chemically amplified (CA) negative electron beam resist process is developed for fabricating 0.25 μm devices 4X magnification reticles. In order to improve a critical dimension (CD) uniformity on reticles, a precise temperature controllability in post exposure baking (PEB) is realized. Dry etching for Cr is also adopted for getting an appropriate pattern fidelity down to submicron on reticles to meet requirements for such as optical proximity effect correction. Under the optimized process conditions, a CD uniformity of 0.016 μm in 3σ is achieved on reticles. A CD linearity of down to 0.8 μm on the reticles is ensured as well. Actual 0.25 μm device reticle production results show that the CD mean variation is controlled within ± 0.040 μm. It is confirmed that an advanced 4X reticle fabrication process for 0.25 μm device generation is realized.
Masks for X-Ray and E-Beam
icon_mobile_dropdown
Current status of x-ray mask manufacturing at the Microlithographic Mask Development Center
Kurt R. Kimmel, Patrick J. Hughes
The Microlithographic Mask Development Center (MMD) has been the focal point of X-ray mask development efforts in the United States since its inception in 1993. Funded by the Advanced Research Projects Agency (ARPA), and with technical support from the Proximity X-ray Lithography Association (AT&T, IBM, Loral Federal Systems, and Motorola) the MMD has recently made dramatic advances in mask fabrication. Numerous defect-free 64Mb and 256Mb DRAM masks have been made on both boron-doped silicon and silicon carbide substrates. Image-placement error of less than 35nm 3 sigma is achieved with high yield. Image-size (critical dimension) control of 25nm 3 sigma on 250nm nominal images is representative performance. This progress is being made in a manufacturing environment with significant volumes, multiple customers, multiple substrate configurations, and fast turnaround-time (TAT) requirements. The MMD state-of-the-art equipment infrastructure has made much of this progress possible. This year the MMD qualified the EL-4, an IBM-designed-and-built variable-shaped-spot e-beam system. The fundamental performance parameters of this system will be described. Operational techniques of multiple partial exposure writing and product specific emulation (PSE) have been implemented to improve image-placement accuracy with remarkable success. Image-size control was studied in detail with contributory components separated. Defect density was systematically reduced to yield defect-free masks while simultaneously tightening inspection criteria. Information about these and other recent engineering highlights will be reported. An outline of the primary engineering challenges and goals for 1996 and status of progress toward 100 nm design rule capability will also be given.
Highly stiff x-ray mask blank with heat resistance and inertness to chemicals
Kenji Marumoto, Hideki Yabe, Sunao Aya, et al.
A novel bonding technique with heat resistance and/or inertness to chemicals is required to realize x-ray mask processes in which Si substrate is mounted on support plate at an initial stage. From this standpoint, we have developed a distortion-free bonding method using Au-Si solder to join Si wafer to SiC ceramic support plate which is effective to reduce the placement error due to mask chucking. The change in wafer bow due to bonding was less than 1 μm in spite of comparatively higher bonding temperature of 620°C , and that due to heating at 400°C for lhr is also negligible. It has been also confirmed that both SiC ceramics and Au-Si solder inert to chemicals such as a strong acid used in the back-etch process. Highly stiff x-ray mask blank with heat resistance and inertness to chemicals has been developed by using this method, where back-etched Si wafer with SiC membrane was successfully joined to SiC ceramic support plate. By using this mask blank, x-ray mask process becomes similar to photomask process, and the remained problems for improving the placement accuracy are only precise EB writing and the reproducible deposition of stress-free absorber.
Cleaning process for x-ray masks
Yasunao Saitoh, Takashi Ohkubo, Ikuo Okada, et al.
A cleaning process for x-ray mask fabrication has been developed that virtually eliminates mask defects. To introduce the wet-cleaning process into the x-ray mask fabrication process, a new mask cleaning system is manufactured to prevent the mask membrane from breaking due to liquid pressure. Because Ta is chemically stable to a strong acid, we employ a wet-cleaning process using a strong acid such as H2S04 + H202 in order to eliminate contamination that occurs in the x-ray mask fabrication process, such as back-etching of the substrate. and Ta pattern etching. Most metallic and organic defects were eliminated by the strong acid wet-cleaning. By introducing this cleaning process, occurrence of mask defects was drastically reduced: the defect density was reduced to less than 5 defects/cm2 The patterns with widths below 0.2 μm were not damaged by acid cleaning, and pattern positioning distortion did not occur.
Study on x-ray irradiation stability of absorber materials for x-ray masks by stress measurement
Kinya Ashikaga, Shinji Tsuboi, Yoshio Yamashita, et al.
We fabricated photomasks by means of a surface imaging technique using electron beams. For this purpose, a bi-level resist process was used. The resist consisted of three- dimensional polysilphenylenesiloxane (TSPS), a negative resist containing silicon, at the top and sulfonated polyaniline (SPAn), an electrically conductive polymer film, at the bottom. TSPS is known to be sensitive to electron beams. It swells slightly during development and it is easily oxidized. These features together make TSPS a good top coat substance. It is also know that the bottom layer substance SPAn reduces substrate charging because it is electrically conductive. By taking advantage of this resist system, we used O2-RIE (oxygen reactive ion etching) for transferring surface images from the top to bottom layer. This paper discusses the performance of photomasks which we fabricated by combining this bi-level resist process with variable-shaped electron-beam lithography system (acceleration voltage of 20 keV and current density of 10 A/cm2). By using this process, we succeeded in obtaining 0.2 micrometer line/space patterns. In addition since the multilayer resist system could reduce proximity effect, it was possible to produce reticles for 1-Gbit DRAM devices without any data correction.
Defect printability analysis in electron-beam cell projection lithography
Katsuyuki Itoh, Hiroshi Yamashita, Takahiro Ema, et al.
In electron-beam (EB) cell projection lithography, the defect in resist pattern caused from the defect on aperture mask (EB mask) must be diminished. We have experimentally evaluated the printability of the defect and confirmed EB mask inspection standard. Line-and-space (L&S) patterns of 0.20 μm width (on the wafer substrate) have been fabricated on the EB mask, which has bridge defects with width of 0.20 μm and height of 0.04-0.20 μm in the line patterns, and exposed with changing the exposure dose. The programmed bridge defect of 0.04 μm height on the wafer (1.0 μm on the EB mask) is not printable at the optimum exposure dose. However, at 90% of the optimum exposure dose, it forms a 0.08 μm disconnection defect. The defect on the EB mask is printable especially in lower exposure dose range. The defect printability is higher than the resolution of L&S pattern. The defect larger than 10 % of line pattern width on the EB mask are not allowed in order to form high quality resist patterns.
Photomask Process and Materials
icon_mobile_dropdown
DOF enhancement effect of attenuated assist feature
Shinji Ishida, Shuichi Hashimoto, Tadao Yasuzato, et al.
To improve the depth of focus (DOF) of isolated lines, attenuated assist feature (AAF) technique has been proposed; AAFs having more than 20 % transmittance were located around an isolated line. In this mask, the transmittance & phase shift angle of AAF as well as its position & width have effects on lithographic performance. In particular, the phase shift angle has strong effect on focus latitude. The performances of two AAF masks (65 % transmittance/ 28° phase shift and 40 % transmittance/ 54° phase shift) were evaluated by using an NA=0.6, σinout = 0.42/0.7, i-line stepper. The focus latitude of 0.3 μm isolated line became flat around the best focus position with 28(degree) phase shift AAFs. In conclusion, we can obtain wide DOF for isolated lines by selecting optimum phase shift angle of AAF.
Pattern shift error induced by coating and developing
Shusuke Yoshitake, Kazuto Matsuki, Ryoichi Hirano, et al.
Improvement of pattern placement accuracy is an important factor for the development of the electron beam (EB) lithography system for the next-generation photomask. It has been qualitatively pointed out that pattern shift error is induced by surface distortion of photomask. In this paper, we quantified pattern shift error induced by mask process and have identified aeolotropic magnification error and negligible orthogonality error. These results obtained by experiment and simulation indicate that attention must be paid to pattern shift error induced by mask process in fabrication of the next-generation photomask. Thus, a more rigid and stiffer photomask will be required to reduce pattern shift error induced by coating and developing.
Phase-Shift Mask
icon_mobile_dropdown
Investigation on application of chromium-based materials to attenuated phase-shift masks for DUV exposure
Seungbum Hong, Eunah Kim, Zhong-Tao Jiang, et al.
A simple method of optical constant evaluation is employed to measure refractive index (n) and absorption coefficient (k) of chromium oxide thin films deposited on transparent substrate in deep ultraviolet range. The validity of the method is verified by comparison with n. k values calculated from transmittance and phase shift measurement. The calculated n, k values of chromium oxide film were 3.3 and 0.64 at 193 nrn, respectively. It was found that there existed optimum film thickness in the range of 45-55 nm for DUV attenuated phase shift at 193 nm. Moreover the film quality has been improved to yield smooth and uniform film surface.
Photomask Process and Materials
icon_mobile_dropdown
Resolution enhancement with thin Cr for chrome mask making
Byung-Cheol Cha, Seong-Woon Choi, Jin-Min Kim, et al.
The main issue for fabricating a conventional Cr Mask with e-beam exposure system is a resolution limitation. Required minimum Critical Dimension (CD) goes down to below 1.0 μm on 4 X reticle, sometimes down to below 0.5 μm for OPC pattern. The resist which widely used in E-beam lithography is positive tone PBS. PBS has used in wet chrome etching process with spin spray or dip methods, due to its lack of resistance to dry etch durability. However, the isotropic process of wet chrome etching results in undercutting of the chrome. Thus, undercut causes the differences of CD between after development and final mask image. The purpose of this study is to decrease undercutting so that CD error can be minimized and a lot of rooms for overdevelopment margin can be obtained. CD linearity in case of below 1.0 μm was also investigated in detail. For this study, the chrome thickness coated on 6 x 6 x 250 mil PBS chrome plates was reduced. As a result of our study, we found that overdevelopment is marginal for the same final CD when using the thinner Cr, due to undercutting reduced. Good CD uniformity has been also achieved with good CD linearity.
PBS process optimization for bow-wake phenomena
Do Yon Kim, Y. I. Lee, W. S. Kim
We have performed a design experiments to optimize our PBS Process related to bow_wake problem with critical Poly,Metal layers. In pattern dependent geometry as like dog_bone pattern or along feature edge of large clear window (swelling), it happened bow_wake(call:dog bone) phenomena of feature distorted in PBS resist processing. We have investigated the effects of independent factors as like resist thickness, high dose, developer concentration, process factors(bias, exhaust, humidity, flow), post overlapping step optimization, media dispense method through binary or uniary(flat fan spray) nozzle(Developer system A, B, C,). Finally, We have concluded that the bow_wake problems were related to the developer media dispense way by chemical dispense method (uniary, binary), media supply differences(pump, air pressured) and process optimization.
New concept for negative-tone electron-beam resist
Yasumasa Wada, Motofuni Kashiwagi, H. Tanaka, et al.
Negative tone electron beam resist forms pattern with radical crosslinking brought by electron beam irradiation and succeeding chemical reactions resulting radical species. The important problem of current negative tone resist is insufficient pattern profile because of tailing and scum found after development. We have solved these problems with reducing the unnecessary cross linking by loading particular radical captures in resist. This paper presents the technical result of resolution improvement achieved on the ZEN4000 that consists of p-chloromethylstyrene and p-chlorostyrene negative electron beam resist series.
Photomask blanks enhancement by optimizing resist baking and coating for advanced e-beam reticle fabrication
Takao Higuchi, Hideo Kobayashi, Kazuhide Yamashiro, et al.
Advanced e-beam reticle fabrication, including phase-shifting mask (PSM) and optical proximity correction (OPC) reticle, has created a growing need for a resist system with wider process latitude, superior resolution and linearity, better CD uniformity. Some of aqueous-based DNQ-novolak resist systems, including chemical amplified one, have been proposed, however, their feasibility has not reached practical level yet. Accordingly, high-molecular polymer resist systems, conventional PBS for instance, are still the majors. We studied resist behavior to soft-baking conditions for the majors of high-molecular polymer resists in order to bring out their potential at its maximum. We also attempted to optimize coating thickness with an intention of superior linearity in conjunction with a risk of clear defects increase. We then examined very basic patterning features of each resist system in soft-baking latitude, coating thickness latitude, exposure dose latitude, develop latitude, adhesion and so on to make clear advantages and disadvantages of each the above resist system. This paper describes details of our findings on photomask blanks enhancement by optimizing soft-baking condition and coating thickness for the conventional high-molecular polymer resist systems for advanced e-beam reticle fabrication.
Phase-Shift Mask
icon_mobile_dropdown
Evaluation of performance of attenuated phase-shift mask using simulation
Yuhichi Fukushima, Nobuhiko Fukuhara, Kohsuke Ueyama
We evaluated printability of notch defects and accuracy of repaired defects in attenuated phase shift masks (=attenuated PSMs) by using the photo intensity simulation. It is shown that the defect in attenuated PSMs brought about the change in the pattern shape of an aerial image on a wafer and affected on the adjacent pattern. It is necessary to repair notch defects in a straight line pattern in a mask even if the size of the defect is minute, because just a small distortion of the transcribed pattern image is severely judged as a defect. The simulation was executed by the optical conditions of wavelength λ = 248nm, NA = 0.5, coherency σ = 0.3 and masks are 5x reticles. We are obtained the results that it is necessary to repair the defects of the size of 0.5 μm or more. Moreover, when the defect was repaired by the shading part so far, the distortion remained in the transcribed pattern image. We examined what the repaired shape is good to solve this problem.
(LaNiO3)x(Ta2O5)1-x oxide thin films for attenuated phase-shifting mask blank
C. C. Cheng, T. B. Wu, J. Y. Gan, et al.
A feasibility of optical proximity effect correction (OPC) mask manufacturing with a state of the art mask fabrication processing and systems is demonstrated focusing on the 0.25 micrometer devices and 4X reticle generation. For realistic OPC mask fabrication, electron beam (EB) resist processing in terms of CD accuracy, mask defect inspection thoroughness and mask defect repair accuracy are studied in detail. For the positive resist process, EB proximity effect correction is applied in order to improve the linearity to meet required CD specifications. Based on such evaluation, practical criteria for OPC pattern generation are applied into an automatic OPC software. It is verified that by using the software with the criteria given, 0.25 micrometer memory device patterns can be corrected with a sufficient optical lithography imaging performance and a reasonable data volume. It is concluded that manufacturing feasibility of sufficiently effective OPC masks is verified as a result of concurrent development on the mask fabrication and automatic OPC software. Engineering tasks in the future are also proposed.
Ta-Si-O absorptive shifter for the attenuated phase-shifting mask
Y. S. Yan, C. C. Cheng, C. L. Lin, et al.
Ta-Si-O composite films have been developed for the single-layer attenuated phase-shifting mask (A.PSM). The films were deposited in an reactive-sputtering system with separating Ta and Si guns. The refractive index and the extinction coefficient of films were tuned by changing the oxygen flow rate and gun power of each gun. At the optimum condition, films with the required optical properties for APSM has been obtained. The films obtained will produce π-shift transmittance around 7% for both i-line and DUV lithography, and less than 25% of transmittance at 488 nm which is important for defect inspection. In addition, the films appear to be inert to hot sulfuric acid which is also important in mask cleaning. Together, Ta-Si-O composite film is expected to be a promising material of DUV absorptive shifter.
Subquarter-micron lithography with dual-trench-type alternating PSM
Hideki Kanai, Kenji Kawano, Satoshi Tanaka, et al.
Lithographic characteristics of dual-trench type alternating phase-shifting mask (PSM), whose shifters are made of perpendicular trenches with different depth alternately, are evaluated numerically and experimentally. The structure of dual-trench type PSM could reduce the difference of adjacent peak intensities created by topography on the mask. Exposure characteristics of the mask varied with depth of deep and shallow trenches, and depth of both trenches should be controlled so as to have the optimum value. Mainly, the difference in depth of deep and shallow trenches caused varying "effective phase" and depth of shallow trench caused varying "effective transmission". The depth of focus using the mask was sensitive to the effective phase difference controlled by adjusting etched depth difference between both trenches, and insensitive to depth of shallow portion. From analysis of mask process margin, respecting acceptable error of depth of both trenches, it was found that the effective transmission error caused reduction of acceptable depth error.
Masks for X-Ray and E-Beam
icon_mobile_dropdown
Simulation of x-ray mask displacement by absorber and membrane stress
Tsuneaki Ohta, Shuichi Noda, Masanori Kasai, et al.
X-ray mask displacements were simulated using finite element method in order to estimate the requirements for the stress and stress distribution of the absorber and membranes. The structure of X-ray mask in this simulation was as follows: the substrate was 3inch φ and 2mm thick Si wafer, the membranes were 2μm thick SiN and SiC, absorber thickness was 0.5μm, and window area was 25mm square. The simulations were focused on the film stress, various absorber patterns, such as half pattern of window, line and space patterns, and the influence of backetch.
Characteristics of Ta4B/SiC x-ray mask blanks
Ryo Ohkubo, Tsutomu Shoki, Hideaki Mitsui, et al.
Stress controllability and stress distribution of Ta4B absorber on polished SiC films have been investigated. Dry etching behaviors of Cr and Si02 films have been characterized as etch-masking and etch-stopping materials. Xe gas sputtering was found to be effective to obtain higher stress controllability and more uniform stress distribution for Ta4B film compared to Ar gas sputtering. Cr film has been found to have high etching selectivity of more than 15 to the Ta4B film during the ECR etching with Cl2 gas, which is proven to be suitable for etch-masking and etch-stopping layers of the Ta4B absorber.
Diamond membrane for x-ray lithography
Hitoshi Noguchi, Meguru Kashida, Yoshihiro Kubota, et al.
Diamond membrane for X-ray lithography has been made by magnetically enhanced microwave chemical vapour deposition (CVD) technique, and evaluation of the membrane film has been carried out for various characteristics of X-ray mask requirements. Our diamond membrane has been found to have highly textured (1 1 1) poly crystalline with very little amount of non-diamond contents such as amorphous carbon and graphite, which suggests good mechanical strength, i.e., high durability against SR exposure with long lifetime and high Young's modulus required for accurate pattern positioning. We believe that our diamond membrane is suited for practical use in X-ray lithography.
Design Automation
icon_mobile_dropdown
Pattern-data preparation method to enchance high-throughput mask fabrication in variable-shaped e-beam writing system
Shigehiro Hara, Eiji Murakami, Shunko Magoshi, et al.
We have developed a new method of preparing pattern data to increase throughput of an EB writing system. The main idea is to expand cells smaller than a threshold size to the corresponding upper level cells during hierarchical shape data operations, which leads to reduction of the number of subfields and shots in our EB writing system. The cell expansions, however, could cause increase in the data volume and data conversion time as a result of destroying the hierarchy of CAD data. Therefore, we have introduced an additional rule, that is, not to expand cell arrays which have more elements than a threshold number. The new data conversion processor, which adopts the above-mentioned cell expansion algorithm, has been applied to a 64Mbit and a 256Mbit DRAM. The new module was applied to three layers, that is, the trench layer, the gate poly layer and metal layer of each DRAM. As a result, we found that the number of subfields and the number of shots were reduced by about 60% and 35%, respectively, for the average of 6 layers. Resulting throughput was evaluated as 1.8 times for the average of 6 layers. Performance change in the conversion processor has been examined in terms of data volume and data conversion time, and is discussed in the paper.
Geometrical library recognition for mask data compression
Robert Veltman, Isao Ashida
Recent developments in LSI mask data processing show a tendency towards unpreceded data volume increases. This paper introduces a data compression technique designed to reduce mask data volumes and is based on geometrical library recognition in which a library is defined as a set of patterns that can be referenced as a single object repeated along a 2 dimensional mesh. The data compression technique is referred to as mask data geometrical library recognition compression (MD-GLRC) and includes a newly developed repetition center tracking (RCT) and library inheritance tree (LIT) algorithm. By applying MD-GLRC, a 885 Mbyte 0.25 μm memory device mask data sample was compressed to 7 Mbytes in 3.5 CPU hours on a 135 MIPS workstation.
Parallel photomask pattern data conversion and verification system
Yasunori Kanai, Toshiji Shimada, Kazunari Sekigawa, et al.
This system is designed to convert and to verify different types of LSI layout design data and EB writer data, such as GDS-II, MEBES, and JEOL, with the help of its original internal format. The internal format data can be processed not only by a single workstation sequentially but also by network-linked workstations or a single workstation having two or more processing units concurrently. This parallel processing mechanism enables the system to reduce total processing time when handling large volume of data. The resultant internal format data produced by the conversion processes including logical operations, magnifications, and re-sizing operations can be immediately verified by built-in DRC program that is driven by a series of user commands, which consequently yields highly reliable output EB writer data. The built-in pattern viewer can graphically visualize any combination of the layout design data, the EB writer data, and the results of each conversion and verification in the same display window to provide users with an intuitive and easy method for verification. The features of the system and the parallel processing performance are described in this paper.
Inspection and Repair
icon_mobile_dropdown
Recent progress in repair accuracy of the focused ion-beam mask repair system
Kazuo Aita, Anto Yasaka, Tadashi Kitamura, et al.
To improve the depth of focus (DOF) of isolated lines, attenuated assist feature (AAF) technique has been proposed; AAFs having more than 20% transmittance were located around an isolated line. In this mask, the transmittance and phase shift angle of AAF as well as its position and width have effects on lithographic performance. In particular, the phase shift angle has strong effect on focus latitude. The performances of two AAF masks (65% transmittance/28 degree phase shift and 40% transmittance/54 degree phase shift) were evaluated by using an NA equals 0.6, sigma- in)/(sigma) out equals 0.42/0.7, i-line stepper. The focus latitude of 0.3 micrometer isolated line became flat around the best focus position with 28 degree phase shift AAFs. In conclusion, we can obtain wide DOF for isolated lines by selecting optimum phase shift angle of AAF.
Focused ion-beam imaging of defects on deep-UV single-layer halftone masks
Hiroko Nakamura, Haruki Komano, Kazuyoshi Sugihara, et al.
At the first stage of defect repair on masks with focused ion beam (FIB), it is necessary to recognize defects by imaging. One of the problems in halftone mask imaging by FIB is that the contrast between halftone (HT) film and quartz (Qz) substrate is not sufficient to recognize material. We investigated the methods of the defect area distinction in deep UV silicon nitride (SiNx) single-layer halftone masks to avoid the transmittance decrease of masks induced by FIB irradiation. The cause of the difficulty in the area distinction is that the difference between the mean secondary electron intensity of HT area and that of Qz area is small in comparison with the width of the secondary electron intensity distributions. A conventional filter was found to be effective to narrow the intensity distributions and the area of defects on halftone masks could be recognized by means of the image filter in the images obtained with a low FIB dose.
Detectability and printability of programmed defect reticle for 256-Mb DRAM
Jin-Hong Park, Hanku Cho, Yonghoon Kim, et al.
As field size is increased and design rule goes down to 0.25 μm more accurate control of defects on the reticle coming from the manufacturing process or the handling process is required than before. In this paper, test reticles which have background contact patterns with a wide variety of defect types, sizes, and locations have been investigated for 256M DRAM level. The geometry of the background contact pattern on the reticle has been designed for 0.30 μm more or less when printed on a wafer with a 4x reduction stepper. The programmed defects are used for the sensitivity evaluation of a defect inspection system, i.e., detectability. Also printability of these defects on the wafer is performed by using a 4x reduction DUV stepper to determine not only the reticle defect specification but also the detectability required for the defect inspection system. As a result, it has been shown that the depth-of-focus (DOF) and the defect specifications required for 256M DRAM level within the constraints of ±10% critical dimension control at fixed exposure dose can be determined. For comparison the impact on defect printability has been determined using 3D optical lithography simulation in the case of chrome extension and clear extension, respectively.
DUV printability of laser repairs on binary and attenuated phase-shift masks
James A. Reynolds, Franklin M. Schellenberg, Michael S. Hibbs, et al.
Photomask repair is a key technology required for the advancement of semiconductor lithography. Of particular interest is the repair of attenuated phase shift masks (APSM) which appear to have a significant role in extending the life of lithographic equipment and processes. A majority of today's 5X reticles require some type of repair to meet zero defect requirements. A successful repair must add and/or remove materials so the aerial image of the defective site looks, to the printing process, to be identical to that of a nondefective site. In this study, selected defects from an IBM test pattern were removed from a carbon attenuated phase shift mask ("carbon phase mask”) and a conventional binary mask (“chromeonglass mask"). The mask was inspected using an atomic force microscope (AFM) and an aerial image measurement (AIMS) system and were printed at a 248nm wavelength onto silicon wafers. The finished wafers were inspected using a scanning electron microscope (SEM) to determine the printability of repaired sites.
Equipment
icon_mobile_dropdown
Transmittance measurement with interferometer system
Hideo Takizawa, Haruhiko Kusunose, Naoki Awamura, et al.
Transmittance measurement of small object such as Ga-stain of repair or particle on photomask is getting to be important. This paper. describes the characteristic of transmittance measurement with a shearing interferometer microscope comparing with a conventional method. Measurement wavelength are 436, 365 and 248nm. In this system the transmittance is calculated from interference signal amplitude that is free from a flare light caused by reflection of optical parts.
Performance of i- and g-line phase-shift measurement system MPM-100
Hiroshi Fujita, Hisatake Sano, Haruhiko Kusunose, et al.
The performance of an i-/g-line direct-phase measurement system Lasertec MPM- 100 has been evaluated. The minimum measurable pattern sizes is 2.5 .μm for holes on an 8%-i-line transmittance halftone phase shift masks (HPSMs). The effect of the focus position is not significant for hole pattern of above 3.5 μm. Both short-term repeatability and long-term stability are excellent, being less than 0.5 deg. The effect of the illumination NA has been investigated theoretically and experimentally, and the use of correction factors based on experiment is proposed for estimating effective phase shifts from phase shifts obtained by MPM- 100.
CD metrology microscope SiSCAN 7325TQ for back-side measurement
Masaru Morita, Shigeru Tachikawa, Mikio Iida
Improvement of pattern placement accuracy is an important factor for the development of the electron beam (EB) lithography system for the next-generation photomask. It has been qualitatively pointed out that pattern shift error is induced by surface distortion of photomask. In this paper, we quantified pattern shift error induced by mask process and have identified aeolotropic magnification error and negligible orthogonality error. These results obtained by experiment and simulation indicate that attention must be paid to pattern shift error induced by mask process in fabrication of the next-generation photomask. Thus, a more rigid and stiffer photomask is required to reduce pattern shift error induced by coating and developing.
Improvement of pattern position accuracy with the LMS2020
Takehiko Okada, H. Yamazaki, Yoji Tono-oka, et al.
A high pattern position accuracy of ±50nm or less is required for ULSI devices such as 64Mb and 256MbDRAMs. To achieve this high pattern position accuracy, it is necessary to use high performance lithography tools. And to make good use of their performance, it is important to monitor the performance of them using a metrology tool with high accuracy, analyze their respective position error factors, and then do feedback corrections exactly. The Leitz LMS2020 was introduced to meet the requirements of pattern position accuracy for 0.25 μm rule devices. By monitoring the performance of MEBES4000 with LMS2020, and maintaining its error at a minimum, a high pattern position accuracy of ±50nm or less could be achieved.
Design Automation
icon_mobile_dropdown
New figure-fracturing algorithm for high-quality variable-shaped e-beam exposure data generation
Hiroomi Nakao, Koichi Moriizumi, Kinya Kamiyama, et al.
We present a new figure fracturing algorithm that partitions each polygon in layout design data into trapezoids for vriab1eshaped EB exposure data generation. In order to improve the dimension accuracy of fabricated mask patterns created using the figure fracturing result, our algorithm has two new effective functions, one for suppressing narrow figure generation and the other for suppressing critical part partition. Furthermore, using a new graph based approach, our algorithm efficiently chooses from all the possible partitioning lines an appropriate set of lines by which optimal figure fracturing is performed. The application results show that the algorithm produces high quality results in a reasonable processing time.
Pelliclization
icon_mobile_dropdown
Development of deep-UV and excimer pellicle (membrane longevity)
Motofuni Kashiwagi, Hitomi Matsuzaki, Norio Nakayama
At the BACUS Symposium held in Santa Clara in 1993, we made a presentation on pellicles for KrF Excimer (including UV-II) stepper based on our development activities. In this presentation, among other issues, we pointed out that compound vapors in the clean room environment influence longevity of the membrane made of fluoropolymer. However, a series of tests, which the presentation was based upon, were carried out by using approximately 2,000 times stronger beam intensity than the actual stepper beam intensity. Ideally, the tests should have completed by applying actual stepper beam intensity ; however, it would take at least 6 months to one year to complete one test, and therefore, this method is not practical. This time, we have applied Kinetic theory analysis to light resistance of KrF Excimer pellicle under stepper's laser exposure conditions.
Evaluation of light resistance of pellicle membrane in the environment with solvent
Toru Shirasaki, Meguru Kashida, Yoshihiro Kubota
The effect of solvent existence in the photolithographic environment on pellicle is studied. Solvent induces photoreaction and affects pellicles when absorptive solvent at exposure light wavelength exists in the photolithographic environment. The photoreaction depends greatly on exposure intensity. Oxide deposition is dominant at weaker intensity and that has a worse influence on pellicles than thickness reduction at strong intensity. It is necessary to keep the concentration of solvent in the photolithographic environment at low level to prevent the photoreaction of solvent.
Equipment
icon_mobile_dropdown
Evaluation of the MEBES 4500 reticle writer to commercial requirements of 250-nm design rule IC devices
Frank E. Abboud, David W. Alexander, Thomas P. Coleman, et al.
The design rule requirements and error budget allocation for maskmaking have made the mask a critical component in the fabrication of 250 nm design rule IC devices. The MEBES 4500 raster-scan reticle writer was designed to meet the mask requirements for pilot production of this generation of devices. In this paper, we will review the IC device and user requirements that drove the design criteria of the MEBES 4500 system. The architecture of the MEBES 4500 system is described and compared to these design criteria. MEBES 4500 perfonnance results during development, manufacture, and installation are also compared to the commercial requirements of 250 nm design rule ICs.
Electron-beam mask writing system for 0.25-um device generation
Kazui Mizuno, Katsuhiro Kawasaki, Hiroyuki Itoh, et al.
The new electron beam (EB) mask writing system based on both the HL-700MIII and HL-800D systems is developed. This system has been developed for semiconductor mass production of 0.25 μm design rule. To improve critical dimensions (CD), higher accelerated voltage of 50 kV is adopted with a variable shaped beam exposure method. Further, EB proximity correction hardware using a pattern area density map, which is the same as that of HL-800D, has been adopted for the improvement of pattern width linearity. In the mechanical system, continuously moving stage, three axis active vibration-isolation and three-point mask supporting are used to improve positioning accuracy and stitching accuracy. In addition, a new mask handling system using a robot realizes full-automatic mask loading. The results of CD uniformity for 1 .tm line pattern are better than 0.025 μm(3σ) and pattern linearity is within ± 0.03 μm. Positioning overlay accuracy among three masks is 0.038 μm(3σ). In addition, a stitching accuracy of 0.037 μm(mean + 3δ) is obtained.
Exposure of 895i resist using a vector scan Gaussian electron-beam lithography system
Sheldon M. Kugelmass, Joseph Mitchell, John T. Poreda
A positive tone, optical resist, OCG 895i, was exposed using the Lepton EBES4, a vector scan Gaussian electron beam lithography system. Proximity Effect Corrections (PEC) were applied via dose modulation on a figure-by-figure basis at write time. Test patterns were corrected using a simple "framing" technique that is the first step in a phased implementation of a complete PEC solution. Figures were separated into bulk and frame regions, with different doses being applied to each. The corrected pattern was exposed in a single pass using a single pattern file. The mask lithography quality of these exposures was evaluated by measurement of CD Linearity, Line Edge Roughness and CD X-Y Bias. A throughput study was conducted to determine the impact of using lower sensitivity resists on mask write times. A series of test jobs was written at conditions consistent with exposure doses of 2 and 8 μC/cm2. This 4X dose increase resulted in a write times that were only 1 .5X longer. A 64 MBit DRAM pattern, prepared with framing, with 50 nm address was exposed at 8 μC/cm2 in 3 hr 35 min.
Laser mask repair technology for 256-Mb DRAM reticle
Tsutoma Haneda, Tetsuya Shimanaka, Koji Wakabayashi, et al.
In recent progress of semiconductor technology, minimum line width at pattern rule of 256MDRAM reticle, is 1.0 μm, the accuracy is ±0.05 μm, and repairing accuracy is required up to ± 10 μm. Moreover, it is necessary to repair small defect in fine pattern with 1~2 μm of L&S and composite defect such as contact hole defect with high-accuracy and high-stability, and to reduce splatter, rollup, and substrate damage. For improvement of laser processing quality, we have been developed new repairing technology to meet the above mentioned requirements, using laser diode pumped Q-switched pulse laser source with 1 mJ pulse energy, automatic high precision positioning system which is composed of two dimensional gray scale image processor in treating CCD camera image data of mask pattern, and new fine moving X-Y stages using hysteresis compensated piezo-actuator. The hysteresis is suppressed to less than O.2 μm for 30 μm moving distance, without drift. For these technology, we have realized the ± 0.10 μm repairing accuracy for an 5 μm square edge type defect. In this paper, we describe about laser mask repair technology for 256MDRAM reticle.
High-resolution deep-UV laser mask repair based on near-field optical technology
Klony S. Lieberman, Hanan Terkel, Michael Rudman, et al.
The main issue for fabricating a conventional Cr mask with e-beam exposure system is a resolution limitation. Required minimum critical dimension (CD) goes down to below 1.0 micrometer on 4X reticle, sometimes down to below 0.5 micrometer for OPC pattern. The resist which is widely used in e-beam lithography is positive tone PBS. PBS has been used in wet chrome etching process with spin spray or dip methods, due to its lack of resistance to dry etch durability. However, the isotropic process of wet chrome etching results in undercutting of the chrome. Thus, undercut causes the differences of CD between after development and final mask image. The purpose of this study is to decrease undercutting so that CD error can be minimized and a lot of rooms for overdevelopment margin can be obtained. CD linearity in case of below 1.0 micrometer was also investigated in detail. For this study, the chrome thickness coated on 6 by 6 by 250 mil PBS chrome plates was reduced. As a result of our study, we found that overdevelopment is marginal for the same final CD when using the thinner Cr, due to undercutting reduction. Good CD uniformity has also been achieved with good CD linearity.
Metrology
icon_mobile_dropdown
Reticle consideration for 0.30-um design rules: between aspiration and actuality
Wafer lithographer expectation will be presented. Based on this expectation we will look at reticle tangible specification as this is required by present wafer photo process. We will attempt to generate provable rather than make-believe mask specification. We will start with a review of reticle specification based on SIA roadmap which is the aspiration of every lithographer. There are different specification for 5X I-Line lithography and for 4X 248nm excimer laser lithography. The 5X I-Line lithography needs the help of optical enhancements and as an immediate result, the Optical Proximity Corrections and Phase Shifting Masks become part of reticle specification. Next, we will talk about actuality in wafer CD budget. In this part we will discuss factors whose contribution is not commonly referred to, such as the shift in the feature edge's position or line shortening effects and variations in proximity effects with stepper illumination setup. A Focus Model will be introduced to quantify CD variations due to focus related aberration, across the exposure field. A statistical metrology model, Norman-Debora, will be used to determine reticle contribution to entire wafer CD budget for 0.30.tm technology. We will calculate process capability index, Cpk and will show how this index can decrease from values larger than one to 0.60, when reticle CD variation increases from 2% to 5% At the end, an actual reticle specification will be presented. This is a calibrated version of the aspiration requirement and is viewed in an integrated context of lithography CD budget.
New approach to phase metrology for manufacturing 248-nm lithography-based embedded attenuated phase-shifting mask
Giang T. Dao, Gang Liu, Alan Snyder, et al.
One of major benefits of attenuated phase-shifting mask, also called half-tone mask, over binary mask is the increase in depth-of-focus. This improvement, however, will be drastically reduced if phase error over the entire reticle is excessive. It is well known that phase error causes focal shift at the wafer level, leading to loss of useful depth of focus. Therefore, controlling phase error is critical in achieving good lithographic performance of embedded attenuated phase-shifting mask (EPSM). Most recently there are newly developed tools that can be used to measure phase at 248 nm wavelength. However, these tools can't measure phase of actual device patterns such as 0.25 xm contact holes due to beam size. This paper will present a new approach that directly measures focal shift of actual device patterns using Aerial Image Measurement. We have successfully utilized this approach in our development of EPSM reticle fabrication process leading to a focal shift uniformity of ≤ 0.2 μm over the entire 6" EPSM reticle. This new approach is also being used as a quality control tool in our mask fabrication process. New metrology pattern design will be described. Measurement accuracy and repeatability and data analysis methodology will be discussed.
Inspection and Repair
icon_mobile_dropdown
Investigation of phase-shift mask shifter defect printability and inspection techniques
Yasuhiro Koizumi, Daniel L. Lopez
Establishing an effective inspection method for PSM's shifter defects is a very important factor in successful production of PSM under i-line and DUV for 0.25 μm process rules. We have used a PSM with programmed shifter defects to confirm the minimum printable defect size under 0.25 μm rule process environment using i-line and DUV steppers. The same plate was then inspected by a special-detection experimental transmitted and reflected(ETR) and KLA3O1 D/D inspection system which KLA has developed in cooperation with Hitachi to evaluate its detection performance on those printable defects. We report that characteristic of this inspection system, which uses both transmitted and reflected light and special detection algorithm, is an effective tool. We will be able to supply defect free PSM for reliable process of 0.25 μm rule.
Direct phase-shift measurement with transmitted deep-UV illumination
Haruhiko Kusunose, Naoki Awamura, Hideo Takizawa, et al.
This paper describes a direct phase-shift measurement system with transmitted deep-UV illumination for phase shifting mask (PSM) using a lateral shearing interferometer system. This interferometer has new structure developed for this purpose. The mirror mount of the interferometer is made of SiC ceramics that promote stability against vibration and ambient temperature drift. The illumination employs a xenon mercury arc lamp that has a spectrum close to the wavelength of KrF excimer laser. The repeatability of measurements is 0.5 degree in 3 sigma. The system can measure a small pattern down to 1 μm with an alternating type PSM with the objective of N.A.=0.4. Influence of incident angle of illumination on phase-shift measurement is investigated by experiment. The results show similar effects with simulation for circular illumination. The phase-shift measurement results on quartz step meet well with a calculation from step height and known refractive index including the effect of incident angle of illumination. The deep-UV measurement results also have good correlation with calculations from the results with another direct phase-shift measurement system that wavelength is 365nm. The simulation for focus latitude of alternating type PSMs agree with the experimental results of wafer exposure and the phase measurement. The accuracy of this system is sufficient for application to development of phase shift mask process.
Die-to-database inspection of 256-Mb DRAM reticles
Yair Eran, Nissim Elmaliach, Yonatan Lehaman, et al.
256 Mbit DRAM devices pose a great challenge to the mask manufacturers. Shrinking kne widths, tighter CD requirements, new lithography enhancement techniques, dense data bases, and higher sensitMty to half-tone defects require advanced process and inspection systems. The improvements and changes in mask manufacturing are translated into three main characteristics of a dietodatabase inspection system: Image quality, reference data injection and defect detection. In order to meet the challenge of inspecting 256 Mbit DRAM masks various enhancements need to be implemented in die.. to-database inspection systems which bring the above characteristics to the required level and supply the mask maker with a highly reliable and sensitive tool.
Detection and printability of random and programmed pinholes on Cr photomasks
In this paper, we describe pinhole detectivity using both pattern inspection and STARlight inspection. Examples of STARlight inspection of naturally-occurring pinholes are shown as well. Small pinholes are not be easily detected by pattern inspectors and are rarely detected by laser-based scatterometers. The construction of the programmed defect mask is described, and inspection tool performance on programmed defects is quantified. In addition, printability of selected defects is assessed by AIMS and correlated to inspection tool detectivity.
Automatic photomask defect classification method
Kyoji Yamashita, Kazuto Matsuki, Kiminobu Akeno
This paper describes a new image-processing algorithm for classifying photomask defects as pindots or contamination as a step toward automated inspection equipment for the one-micron generation. To detect contamination on quartz, our method extracts the gradient of the transmitted image within the dark region of reflected image. Contamination on the opaque membrane can also be detected by using the same method but with the transmitted image and reflected image mutually transposed. Standard particles of 0.3 to 0.5 micron can be detected with particles on quartz and particles on opaque membrane separated.
Application of phase-sensitive optical system for soft defect detection
Tsuneyuki Hagiwara, Kouichirou Komatsu
To attain a better yield, the performance requirements for the inspection equipment have been getting more rigid among mask manufacturers. Recently, we have developed the operational principle of the phase sensitive optical system based on the differential interference contrast (DIC) technique. This optical system has capability to suppress the circuit pattern images, and can enhance the contrast between the images of the contamination and the defect-less circuit patterns.