Proceedings Volume 2725

Metrology, Inspection, and Process Control for Microlithography X

cover
Proceedings Volume 2725

Metrology, Inspection, and Process Control for Microlithography X

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 21 May 1996
Contents: 10 Sessions, 73 Papers, 0 Presentations
Conference: SPIE's 1996 International Symposium on Microlithography 1996
Volume Number: 2725

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Modeling for Submicron Metrology
  • Standards and Calibration Methods for Critical Dimension Metrology
  • Particle and Defect Metrology
  • Environmentally Responsible Process Control and Material Development
  • Registration and Overlay Metrology
  • Particle and Defect Metrology
  • Thin Film Analysis and Measurement
  • Scanning Probe Metrology
  • Optical and Electrical Linewidth Measurements
  • Scatterometry for Versatile Applications
  • Lithographic Process Control/Process Monitoring
  • Scanning Probe Metrology
  • Scatterometry for Versatile Applications
  • Thin Film Analysis and Measurement
  • Modeling for Submicron Metrology
  • Registration and Overlay Metrology
  • Particle and Defect Metrology
  • Modeling for Submicron Metrology
  • Thin Film Analysis and Measurement
  • Scanning Probe Metrology
  • Modeling for Submicron Metrology
  • Scanning Probe Metrology
Modeling for Submicron Metrology
icon_mobile_dropdown
Patterning ULSI circuits
The traditional scaling of feature sizes to ever smaller dimensions which has driven the semiconductor industry for 30 years is being challenged by physical and cost limits. As we approach the development of the 180 nm generation, we have a quite different technology scenario facing us than we have seen in the past. The approaches being contemplated can be summarized in order of utility as: (1) extensions of existing patterning methods; (2) non- lithography patterning approaches; (3) extensions of the optical projection/reduction approach; (4) new beam techniques; and (5) probe techniques. I review the challenges in each of these categories and indicate where serious development efforts are needed to sustain technology scaling into the ULSI generations.
University-industry relations: what do we do now?
John A. Armstrong
I propose to discuss three topics that bear on the relations between industrial research labs and research universities. There are powerful forces of change at work on both of these parties; how we think about these changes, and how we react to them, will have a definite effect on the future course of university-industry relations. My first topic is how we should think about the recent changes in basic research in industry; the second topic is possible changes in graduate education in science and technology. The third topic is changes in corporate practice that would improve the synergy between industry and academia.
Science and technology policy in the 104th Congress
Zoe Lofgren
After decades of growth in federal research and development (R&D) funding, these programs are slated for a one-third cut. Programs to develop technology jointly with the private sector are the focus of deeper cuts, or elimination. These actions are being taken as a result of budget policy changes by the new majority in Congress; they do not result from a rational re- examination of federal science and technology policy. These policies could have long-term consequences for high technology industries, especially in California. I review some of the events of the past year and anticipate the actions of the coming year. I also try to set out an active agenda for the science and engineering community to follow in helping make decisions at the federal level.
Resist metrology for lithography simulation, part I: exposure parameter measurements
Chris A. Mack, Toshiharu Matsuzawa, Atsushi Sekiguchi, et al.
The experimental measurement of the photoresist ABC modeling parameters is described and three different data analysis techniques are compared. The best technique, the use of full exposure simulation to fit the data, is shown to be more accurate than the conventional data analysis method over a wide variety of typical substrates. In particular, artificial swing curve like behavior is observed on non-ideal substrates using the standard data analysis, but is readily accounted for in the more accurate full simulation method.
Resist metrology for lithography simulation, part 2: development parameter measurements
Resist simulation technology began with the presentation of Dill's lithography models over 20 years ago, and in the ensuing years has undergone various improvements. Basic parameters in resist modeling include the exposure parameters, bulk development parameters, diffusion length of the photoactive compound (PAC) due to post-exposure baking (PEB), and surface inhibition factors. (The exposure parameters are discussed in detail in 'Resist Metrology for Lithography Simulation, Part 1.') In this report, equipment and data analysis software capable of efficient and accurate determination of development parameters, the diffusion lengths of PAC due to PEB, and surface inhibition factors are discussed. In particular, the construction of equipment for the measurement of development rates is described, and techniques for extraction of development parameters, PAC diffusion lengths, and surface inhibition factors are discussed in detail and examples are given for a high resolution i-line resist.
Minimizing optical proximity effect at subhalf-micron resolution by the variation of stepper lens operating conditions at i-line, 248-nm, and 193-nm wavelengths
Graham G. Arthur, Brian Martin
The forms of optical proximity effect (OPE) known as dense/isolated offset and line-end shortening are investigated by computer simulation using the programs Prolith/2 and SOLID. It is shown that as the partial coherence and numerical aperture are varied, the printing error due to these OPEs can change from positive, through zero to negative, suggesting that if an appropriate set of operating conditions is selected proximity effect can be reduced and even eliminated thus relaxing one of the many stringent reticle design specifications. This not only enables the user to tailor the operating conditions of the imaging system to his particular requirements, but also highlights a possible requirement for future wafer steppers to be made variable over as wide a range of operating conditions as is practicable.
Standards and Calibration Methods for Critical Dimension Metrology
icon_mobile_dropdown
International comparison of photomask linewidth standards: United States (NIST) and United Kingdom (NPL)
James E. Potzick, John W. Nunn
Photomask linewidth standards serve as primary standards for the calibration of photomask metrology tools and are available from the national standards organizations of several countries. These standards are often in the form of chrome-on-quartz photomasks with a variety of linewidth, spacewidth, and pitch patterns. The British and U.S. national laboratories have made comparative measurements of linewidth, spacewidth, and pitch on two national photomask linewidth standards in order to detect any systematic differences between their respective calibration systems. The measurement differences were all found to be within the calibration expanded uncertainties of both laboratories combined, and are not significant at the 95% confidence level.
Self-calibration in two dimensions: the experiment
Michael T. Takac, Jun Ye, Michael R. Raugh, et al.
A two-dimensional self-calibration experiment obtains Cartesian traceability for high-precision tools. The calibration procedure incorporates group theory principles to solve our industry's two-dimensional calibration problem. With group theory, a Cartesian system is obtainable through mathematics; thus, eliminating the need for any certified standards. The calibration algorithm was developed by Jun Ye at Stanford University and funded by the Semiconductor Research Corporation (SRC) with collaboration from Hewlett Packard (HP) and IBM. The data was collected from Leica's LMS2000 and LMS2020 systems.
New algorithm for the measurement of pitch in metrology instruments
Nien-Fan Zhang, Michael T. Postek Jr., Robert D. Larrabee, et al.
Traditionally, the measurement of pitch in metrology instruments is thought to be a benign self-compensating function. However, as the measurement uncertainty of metrology instruments is pushed to the nanometer level, evaluation of the performance of the pitch measurement algorithms becomes increasingly important. Such an evaluation was done during the development of the documentation required by NIST for the issuance of the new scanning electron microscope magnification calibration standard SRM 2090. In the course of this evaluation, a new algorithm for the measurement of pitch was developed. This algorithm, although currently applied to the data from the scanning electron microscope, also can be utilized for other types of metrology instrumentation. Traditionally, for a pitch measurement, a line is fitted to each edge of the data independently. Then the distance between some arbitrary threshold value on each of those lines is determined. The new algorithm eliminates the concern for the selection of a threshold. Two data sets are defined -- one for each of the two edges used for the pitch measurement. Then regression lines are fitted to both sets of data simultaneously with the constraint that parallelism is maintained between these lines. In this way, the data sets are always evaluated in pairs. The evaluation of uncertainty for this measurement process has also been made. Comparisons with simulated data show that the mean square and variance of the pitch distance from the new algorithm is smaller than that from the traditional one. The development of the algorithm as well as the analysis of NIST laser interferometer measurements are presented and illustrated with data obtained from SRM 2090 prototypes.
Linewidth measurement of wafers using SEM and its uncertainty evaluation
Yeong-Uk Ko, TaeBong Eom, Ki-Hong Kim, et al.
We have developed a linewidth/pitch measurement system of wafers used in semiconductor manufacturing process. The system was modified from a conventional scanning electron microscope and can be used to measure. In our system we can measure by two methods. The first method is digital scanning method in which the electron beam is digitally scanned by two D/A converters and the signal of secondary electron obtained by an A/D converter is analyzed. And then we can determine linewidth/pitch using edge defining algorithm. The second method is absolute method using laser interferometer in which the electron beam is fixed and the specimen is set on a precise scanning stage driven by a piezo electric transducer. The linewidth/pitch of the specimen has been determined from the signal of edge and the distance between signal of left and right edges is measured by laser interferometer. In this paper, we describe our overall measuring system and measurement method and show the uncertainty estimation of digital scanning method according to a guide published by the International Organization for Standardization (ISO). In this estimation, the expanded uncertainty from instrument and sample was 0.040 micrometer and that only from instrument was 0.033 micrometer.
SEM characterization of etch and develop contributions to poly-CD error
Crid Yu, Anna Maria Minvielle, Costas J. Spanos
CD variability is usually managed using an error budget, which apportions the total allowable error into individual process components. Automated SEM metrology can provide high quantities of samples at intermediate points in a process sequence and can be used to estimate error budget items. However, evidence suggests that SEM CD measurements can be tainted by sequencing artifacts such as trend and autocorrelation. A methodology is developed to characterize the CD variability introduced by automated SEM measurements and remove them through statistical filtering. This technique is applied to estimate variability after resist development.
Particle and Defect Metrology
icon_mobile_dropdown
Practical and precise method for mask defect size measurement
Steve George, Peter Fiekowsky
An objective and practical method for accurate sizing of mask defects found with inspection tools is presented. Diameters of defects found on a KLA Instrument's 219e mask inspection tool were measured to an accuracy of 0.10 microns by using gray scale image information provided by the KLA machine. Final through-the-pellicle inspection may include defects which are smaller than specification. Precise and practical measurement of these defects is vital for objective disposition. Further, the ability to perform statistics on these measurements allows greater process improvement and inspection strategy tuning. Test defects were measured on a Verimask (a plate with programmed defects and 'standard' sizes for each defect, made by Dupont) and supplied as a calibration gauge for the measurement tool. Images of the defects were extracted from the video output of the KLA tool. A reference image was derived by averaging the light intensity in the region surrounding the defect. In cases where the surrounding area was non-uniform (edge defect), a separate reference image was extracted from the KLA tool. Then the area of the defect was measured by subtracting the reference image from the original and measuring the total difference in light energy in the region of the defect. This total light difference was scaled to the calibration measurements by using a quadratic least squares fit. Separate calibration curves are used for spots, holes, intrusions, and extensions, correcting for optical characteristics of the system and likely skews in the reference measurements.
Comparison of particle measurement tools for use with photoresist: film surface versus liquid techniques
Michael Anderson, Susan Bablouzian, Michael Gaudet, et al.
Currently, the state-of-the-art for particle detection in photoresist is liquid laser scattering technology, which has a particle size capability of approximately 0.25 micrometer for photoresist. Surface tool particle size capabilities are similar, at 0.2 micrometer in a typical application. In our search for techniques which would allow detection of sub-quarter micron particles in photoresists, we sought to explore whether surface particle detection techniques could be of use in determining resist cleanliness. If so, could any correlation be made between counts at various particle sizes measured using liquid laser techniques and those measured with a surface detection tool? To begin to answer these questions, a screening study was undertaken. Two resist chemistries were selected for comparison: diazonaphthoquinone/novolak-based Shipley MegapositR SPRR 510A i-line photoresist and chemically-amplified Shipley APEX-E DUV photoresist. Each resist was prepared at three different cleanliness levels, as determined by liquid laser particle counting. These samples were evaluated on six different surface detection tools, including both laser scattering and digital image processing technologies. For the particle sizes examined, none of the surface detection tools evaluated were consistently able to distinguish between cleanliness levels.
Image paradigm for semiconductor defect data reduction
Automation tools for semiconductor defect data analysis are becoming necessary as device density and wafer sizes continue to increase. These tools are needed to efficiently and robustly process the increasing amounts of data to quickly characterize manufacturing processes and accelerate yield learning. An image-based method is presented for analyzing process 'signatures' from defect data distributions. This paper describes the statistical and morphological image processing methods used to achieve an automated segmentation of signature events into high-level process-oriented categories. Applications are presented for enhanced statistical process control, automatic process characterization, and intelligent subsampling of event distributions for off-line, high-resolution defect review.
Real-time on-wafer evaluation of contaminant-induced defects from resist processing
John K. Lowell, Paul W. Ackmann, Stuart E. Brown, et al.
In this paper we present a new non-contact, non-destructive method for real-time evaluation of both surface and interface metallic contamination from resists. The method allows for independent testing at the completion of a coat/ash or ash/removal/clean steps in processing. Using a standard 1.2 micrometer resist in both as-received and intentionally doped versions wherein alkaline and transition metals such as K, Fe, Cr, and Cu were added to the initial solution, we demonstrate that at both these crucial steps in resist processing important electrical and material parameters such as bulk Fe concentration, minority carrier diffusion length, relative surface recombination velocity, and surface charge can be detected directly on- a processed test wafer with no electrical test structures using a combination of low and high injection level surface photovoltage. Since most production fabs only test for residual particles in-line, or rely on expensive, time-consuming analytical techniques such as AAS, DLTS, or TXRF to evaluate contaminant metals, this approach offers a faster and a more economical way to control this problem.
Using optical pattern filtering defect inspection tools and process-induced defects per wafer pass for process defect control
John R. Alvis, Michael J. Satterfield, Patricia Gabella
A patterned wafer inspection system using optical pattern filtering (OPF) has been integrated into sub-half micron semiconductor device pilot production lines (125 mm and 200 mm) for the purpose of process defect control. The optical pattern filtering tool offers the advantages of 0.2 micrometer or better sensitivity with high throughput as compared to other patterned wafer inspection systems, and offers exceptional ability to find defects located deep inside the patterns of a typical device. This three dimensional capability offers unique capability when inspecting contacts or vias. A highly repetitive pattern must be used with the OPF tool. However, this limitation is easily overcome by using large highly repetitive arrays such as those found on DRAM or SRAM technologies. Additionally, the use of specially designed highly repetitive defect array masks such as a diffraction grating (comb) or a series of highly repetitive holes (vias and contacts) can be used.
Defect detection methodology on the back-end process: a case study
Herve M. Martin, Pascal Bichebois
The manufacturing yield improvement comes mainly from back end process defects which unfortunately are difficult to detect: the topography on back end layers shows significant differences in height between the upper and lower levels, and even when planarization techniques are used the difference in thickness on dielectric layers leads to difficult optical detection. The W selective process step is an attractive way to make the vertical interconnections for integrated circuit fabrication. For the most advanced processes more than five metal levels separated by insulated layers are connected by vias buried in the silicon dioxide and filled with metal. The W selective process is an alternative to the W etched back process. This method seems to be economic as the removal of the metal layer is avoided. However, the chemical process of the selective W deposition between the silicon oxide and metal is very sensitive to any chemical perturbation or physical modification on the surface. Moreover, the deposition of the metal on the metal layer can be blocked. For all these reasons, studies on the cleanliness and physical properties (scratches on the dielectric for example . . .) are important for understanding the phenomena and thus correcting the process. The defects resulting from the tungsten selective deposition are little spheres which must be detected from 0.15 micrometer to cope with 0.4 micrometer design rules. The number and size of these particles, named nuclei, must be measured to qualify this process. The dark-field pixel-to-pixel optical inspection tool has been chosen to detect these nuclei. The electrical yield prediction based on the nuclei size distribution completes the study and saves time by avoiding final electrical test results.
Environmentally Responsible Process Control and Material Development
icon_mobile_dropdown
Managing environmentally benign semiconductor manufacturing research
'Environment, Safety and Health, having made significant contributions in recent years, finds that future requirements mandate concurrent engineering efforts in developing future technology and ensuring ESH integrity.' (National Technology Roadmap for Semiconductors, 1994, p 3). This paper examines options which enable the production of high performance, robust, and green semiconductor manufacturing technologies. Examples include innovative solutions to critical gap areas that provide a more fundamental understanding of trade-offs between device performance and high-priority ESH issues.
Supercritical fluid processing: opportunities for new resist materials and processes
Paula M. Gallagher-Wetmore, Christopher Kemper Ober, Allen H. Gabor, et al.
Over the past two decades supercritical fluids have been utilized as solvents for carrying out separations of materials as diverse as foods, polymers, pharmaceuticals, petrochemicals, natural products, and explosives. More recently they have been used for non-extractive applications such as recrystallization, deposition, impregnation, surface modification, and as a solvent alternative for precision parts cleaning. Today, supercritical fluid extraction is being practiced in the foods and beverage industries; there are commercial plants for decaffeinating coffee and tea, extracting beer flavoring agents from hops, and separating oils and oleoresins from spices. Interest in supercritical fluid processing of polymers has grown over the last ten years, and many new purification, fractionation, and even polymerization techniques have emerged. One of the most significant motivations for applying this technology to polymers has been increased performance demands. More recently, with increasing scrutiny of traditional solvents, supercritical fluids, and in particular carbon dioxide, are receiving widespread attention as 'environmentally conscious' solvents. This paper describes several examples of polymers applications, including a few involving photoresists, which demonstrate that as next- generation advanced polymer systems emerge, supercritical fluids are certain to offer advantages as cutting edge processing tools.
Removal of process-generated organic impurities from recycled water in semiconductor fabs
G. Chen, Farhang Shadman
Oxidation is one of the most effective ways of removing organic impurities from water or recycled waste water. The kinetics and the mechanism of oxidation of two types of organic impurities were studied: soluble but recalcitrant organic impurities and the organic particles such as photo-resists, entering the recycle streams. The UV photolysis and photocatalytic oxidation of organic impurities in ultrapure water were studied in a pilot ultrapure water plant. A novel photocatalytic filter was developed by depositing a layer of photocatalytically active material on an inorganic filter substrate. A number of naturally accruing soluble and particulate organic impurities as well as surfactants were used as model impurities in this study. The photocatalyst enhanced the oxidation by both increasing the adsorption on the filter and enhancing the oxidation reaction through the catalyzed formation of active radicals.
Water-soluble resist for environmentally friendly lithography
Qinghuang Lin, Logan L. Simpson, Thomas Steinhaeusler, et al.
This paper describes an 'environmentally friendly,' water castable, water developable photoresist system. The chemically amplified negative-tone resist system consists of three water-soluble components: a polymer, poly(methyl acrylamidoglycolate methyl ether), [poly(MAGME)]; a photoacid generator, dimethyl dihydroxyphenylsulfonium triflate and a crosslinker, butanediol. Poly(MAGME) was synthesized by solution free radical polymerization. In the three-component resist system, the acid generated by photolysis of the photoacid generator catalyzes the crosslinking of poly(MAGME) in the exposed regions during post-exposure baking, thus rendering the exposed regions insoluble in water. Negative tone relief images are obtained by developing with pure water. The resist is able to resolve 1 micrometer line/space features (1:1 aspect ratio) with an exposure dose of 100 mJ/cm2 at 248 nm. The resist can be used to generate etched copper relief images on printed circuit boards using aqueous sodium persulfate as the etchant. The crosslinking mechanism has been investigated by model compound studies using 13C NMR. These studies have revealed that the acid catalyzed reaction of the poly(MAGME) with butanediol proceeds via both transesterification and transacetalization (transaminalization) reactions at low temperatures, and also via transamidation at high temperatures.
Registration and Overlay Metrology
icon_mobile_dropdown
High-accuracy overlay measurements
Richard M. Silver, James E. Potzick, Fredric Scire, et al.
The reduced critical dimensions of semiconductor devices place more stringent requirements on the uncertainty (including precision and accuracy) of overlay metrology tools used to monitor stepper feature placement. The use of mix and match technologies of phase shift with 1x and 5x stepper techniques and step and scan exposure tools further stresses the need for accuracy in overlay metrology tools. In addition to these pressures it is widely recognized that overlay measurement accuracy can be compromised by misalignments and measurement errors in the optical measurement tool, referred to as a tool-induced-shift. Further errors in the measurement process result from asymmetries of the specimen creating an erroneous overlay shift, referred to as wafer-induced-shift. Our optical overlay metrology program at NIST is currently addressing these measurement concerns with the development of a high-accuracy overlay measurement system. This system will be used for overlay measurement research and the calibration of standard reference artifacts designed to improve standard optical alignment procedures as well as artifacts specifically designed for overlay instrument calibration. We first discuss the design features of our new instrument: the optical measurement system, sample-stage dynamics, the metrology reference frame, and position-measurement systems. We then discuss the fundamental differences between measurement tool errors and wafer- induced errors and the phase-dependent nature of the interactions of the electric fields in the image which result from these errors. Next, difficulties encountered in trying to separate these effects by a simple rotation of the sample followed by analysis of the sum and difference components of the intensity image are investigated. We then briefly describe our design and development approach of two artifacts, one for alignment and scale calibration and the other a conventional box-in-box overlay-specific test structure. This approach is taken to best separate and reduce the error contribution from tool-induced-shift.
In-line overlay measurements for advanced photolithography
Eric Rouchouze, Daniel Burlet, Jean Marc Dumant
A set of analysis tools have been set-up to monitor and diagnose the overlay performances of a multi-stepper fab in a mix-and-match environment. A robust first order modeling and efficient software routines were designed for data transfer and processing. Global statistics, along with trend charts are presented. Process corrections and hardware upgrades can have their effects monitored. Lens-to-lens distortion difference and matching performances can also be evaluated.
Improved overlay measurement of CMP processed layers
Jeong-Ho Yeo, Jeong-Lim Nam, Seok-Hwan Oh, et al.
We report the results of an investigation into the quality of overlay data obtained from a chemical-mechanical polish (CMP) process. The limiting step height for standard bright field optical imaging is examined and found to be less than 200 angstrom. Tool repeatability improvements are demonstrated using a mixture of imaging system modifications and image processing techniques. Using these it is possible to achieve very repeatable data. Good tool precision is insufficient evidence of good data quality as the process can distort the target so that it does not accurately measure the stepper overlay error. We report an examination of data quality obtained using different target designs and both with and without an additional etch- back step to enhance the contrast of the image being measured. Several different techniques have been applied to determine whether the data is accurate. We conclude that bar and frame type targets give much more accurate results than traditional box styles. In addition the tool performance is also improved by using the same target designs. With this choice of target design accurate data is obtained and meaningful correction of stepper errors becomes possible.
Improved overlay reading on MLR structures
Jeong-Ho Yeo, Jeong-Lim Nam, Seok-Hwan Oh, et al.
In this paper, we present methods of eliminating an overlay scaling error which is introduced when multi-layer resist (MLR) structures are imaged with a narrow bandwidth light source. Using the conventional box-in-box type mark, an intense interference fringe is produced around the box type mark and results in a scaling error on overlay reading. An optical interference effect combined with resist build-up of bottom PR is the origin of the scale error. Two methods have been tried to find a solution without changing the process. To remove the interference effects, a broad bandwidth light source was adopted so that clean and uniform images are obtained. On the other hand, to eliminate the resist build-up, narrow bar marks corresponding to the large box pattern have been generated to reduce the effect with the narrow bandwidth light source. Using the above methods we could realize accurate overlay measurement on MLR structures. The changes have been applied to a real DRAM process.
Compensation of intrafield registration error caused by process properties in optical lithography
Tae-Gook Lee, Seung-Chan Moon, Hee-Mok Lee, et al.
This paper describes the intra-field registration error caused by the mix of exposure illumination and isolation process independently. Modified illuminations such as annular, quadrupole, and small sigma aperture for phase shift mask are widely used to extend the feasible limits in the current optical process application. Meanwhile, each of the illumination conditions may cause the deterioration of the intra-field registration since they have different optical properties. Also isolation process in CMOS has been considered as a critical step causing the intra-field registration error induced by the wafer stress from the thermal cycle and the different type of films. The mix of illumination conditions, isolation schemes, the temperature and thickness of field oxidation were split to investigate their effects. And the compensation of intra-field registration error was performed by shot scale value.
Accurate overlay control for 0.30-um i-line lithography
KeunYoung Kim, Ikboum Hur, Gook-Jin Jang, et al.
Errors induced by mask, stepper, process and measurement tool disturb the perfect registration. A new method to check the precision of the mask itself would be introduced. It could give more practical references for wafer processing. The amount of all other errors could be easily notified using the above method. It is shown that the thickness of mask is a quite important factor to decide the accuracy of pattern placement on mask. Thicker substrate has smaller distortion inherently, and is less deformed by the subsequent processes for the mask fabrication. Although the field size of the thicker one was almost twice than that of the thinner one, 250 mil quartz substrate had more accurately placed patterns than the 90 mil one. OAI is a kind of sources degrading registration accuracy, which can induce another lens aberration. This error should be compensated by magnification and rotation control of the chip. The misregistration was able to be suppressed less than 60 nm. The registration control of the contact hole is extremely important and difficult, especially when it has to be formed through multi-layers. A new method had been tried, which independently controls the overlays with respect to x and y direction, respectively. It was found to be a potential solution in this case.
Real-time overlay modeling in a sub-0.50 um production environment using the IVS-100 optical metrology system
Bhanu P. Singh, Robert M. Newcomb
As mainstream semiconductor processes move into sub 0.50 micron geometries, the industry requirement for stricter process control of the photolithography process and equipment becomes increasingly crucial. In order to qualify their lithography steppers during the installation process, most fabrication facilities measure overlay registration targets and then perform extensive analysis to determine the systematic grid and intrafield errors induced by the stepper. As the stepper is released to the production environment, overlay registration is measured in order to monitor the process based on mean and standard deviations instead of grid and intrafield analysis. The limitations induced by the differences between the stepper qualification and process monitoring are investigated. In addition, a real-time solution is presented to minimize these differences and improve upon the process monitoring techniques utilized by leading edge manufacturers. The end result is increased process monitoring fidelity, increased equipment utilization and a move from a static to predictive (continuous) tuning environment for the photolithography steppers.
Efficient overlay optimization of stepper correctables
Warren W. Flack, Susan Avlakeotes, David Chen, et al.
A crucial aspect of overlay optimization is proper selection of stepper input corrections. Automated metrology systems provide the ability to rapidly amass extensive overlay data on lithography systems and processes. The data can then be used to provide feedback in the form of stepper input correction terms to improve overlay. A common approach is an analysis of the overlay data using conventional grid and lens models to determine apparent corrections to be applied to the stepper. However, the standard models do not necessarily account for all the variability in the measured data. Determination of optimal corrections is further complicated by cross-correlation of the stepper input correctable terms. In these cases, the simple application of the grid and lens modeled terms will not provide optimal results. The use of efficient experimental design techniques can reduce the large uncertainty involved in determining and applying these stepper input corrections. Using traditional experimental factorial and response surface design techniques, a descriptive model was developed for the six grid correction terms. The resulting empirical model was generated by using a six factor Box-Behnken experimental design. Multiple wafers were run at these conditions and overlay was measured using an automated metrology system. This empirical model was used to derive the optimal set of inputs to the stepper. This descriptive model is compared with input settings determined from a conventional grid model.
Effect of variable sigma aperture on lens distortion and its pattern size dependence
Takashi Saito, Hisashi Watanabe, Yoshimitsu Okuda
While modified illumination with various illumination apertures is the promising method to get finer patterns, there are many problems in putting it into practical use. In particular, the different apertures give different effects on the lens distortion and they cause the pattern displacement. In this paper, we studied the image placement error of fine patterns under 1 micrometer and its pattern pitch dependence using various illumination apertures. The first layer of 0.8 micrometer lines and spaces is patterned using conventional aperture (NA equals 0.57, sigma equals 0.6) and the second layer is exposed on the etched patterns with various apertures such as conventional, annular and small sigma. The patterns of the second layer are 0.4 micrometer lines with various spaces. Pattern displacements of the second layer are measured within the lens field along the X axis. The results show that the displacements are affected by the illumination status. In addition, the displacement varies with the pattern pitch and the placements of the fine patterns are different from those of large overlay patterns such as box-in-box. These results are confirmed by the optical simulator. The simulated results correspond to the experimental results and they point out the third order coma aberration most effects the pattern displacement. The pattern size and pitch dependence of the image displacement reveals that the measurement result of the conventional overlay patterns such as box-in-box with the sizes of 10 - 20 micrometer doesn't represent the overlay of the fine patterns of actual devices.
Particle and Defect Metrology
icon_mobile_dropdown
Rapid and accurate measurements of photoresist refractive index dispersion using the prism coupling method
Robert A. Norwood, Lisa A. Whitney
A commercially available instrument (MetriconTM 2010) was used to perform refractive index measurements at wavelengths of 543 nm, 632.8 nm and 780 nm on AZR photoresist and antireflection (AR) coating products. This instrument is computer-controlled and performs all analyses required to determine film indices and thicknesses. The samples were various i-line and g-line photoresists and AR coatings, spun onto silicon at thicknesses of approximately 1 - 2 microns. The reproducibility of the refractive index measurements at different spots on a given sample was found to be very high (usually less than 0.0002) and the time to measure one sample at all the wavelengths was about 15 minutes. The data were fit to a Cauchy function and low error fits were obtained. Most photoresists measured exhibited similar values for the Cauchy coefficients, while BARLiTM had much different coefficients, owing to its large absorption in the visible.
Thin Film Analysis and Measurement
icon_mobile_dropdown
Optical characterization of ITO films used in flat panel displays
A new technique is presented that simultaneously and unambiguously determines thickness, index of refraction, (n), and extinction coefficient, (k), as well as energy bandgap of indium tin oxide (ITO) films deposited on either transparent substrates such as quartz or opaque substrates such as silicon. The quantities n and k are determined as a function of wavelength, (lambda) , covering deep UV through near IR wavelengths (from 190 to 900 nm). These quantities can then be correlated to transparency, conductivity, etchability, patternability and manufacturability of ITO.
Scanning Probe Metrology
icon_mobile_dropdown
Benchmarking multimode CD-SEM metrology to 180 nm
Kevin M. Monahan, Farid Askary, Richard C. Elliott, et al.
Fully automated, multi-mode CD-SEM metrology, utilizing both backscattered electron (BSE) and secondary electron (SE) detection, has been benchmarked to 180 nm critical dimensions using patterns generated by deep-UV lithography. Comparison of pure BSE with conventional SE SEM data used in a study of across-chip linewidth variation (ACLV) revealed that heterogeneous system matching depends on feature orientation as well as an offset between BSE and SE intensity profiles. The corresponding AFM data show that the BSE measurements are more accurate and less sensitive to feature orientation and sample charging. Using the multi-mode system, we found that SE profiles had a higher signal-to-noise ratio while the BSE profiles gave a better representation of the actual line shape. Static and dynamic measurement precision below 2 nm has been achieved with BSE on etched polysilicon. Move-acquire- measure (MAM) times at this precision were under 10 seconds per site. Models for orientation-independent measurement, generic wafer throughput, and overall equipment effectiveness were used to address the issues of system matching, tool productivity, and factory integration, respectively.
Improving SEM linewidth metrology by two-dimensional scanning force microscopy
Mark D. Lagerquist, Wayne Bither, Roger Brouillette
As lithographic feature sizes in semiconductor fabrication shrink, sidewall shape comprises a greater percentage of the overall linewidth. Scanning electron microscope (SEM) metrology thereby becomes more dependent on geometry. To determine SEM sensitivity to sidewall behavior, samples are cleaved and compared to cross-sectional SEM measurements. Two- dimensional scanning force microscopy (2D-SFM), which uses unique probes and scan control to profile steep and complex sidewall geometries, shows promise as an alternative to the destructive and costly cross-sectioning technique. Repeatability studies on a variety of lithographic features show 2D-SFM capability and feasibility as a linewidth metrology tool, demonstrating less than 10 nm 3-sigma precision. Several application scenarios are examined where 2D-SFM measurements are compared to SEM measurements. The results demonstrate 2D-SFM can complement and improve SEM linewidth measurement understanding.
SEM performance evaluation using the sharpness criterion
Michael T. Postek Jr., Andras E. Vladar
Fully automated or semi-automated scanning electron microscopes (SEM) are now commonly used in semiconductor production and other forms of manufacturing. The industry requires that an automated instrument must be routinely capable of 5 nm resolution (or better) at 1.0 kV accelerating voltage for the measurement of nominal 0.25 - 0.35 micrometer semiconductor critical dimensions. Testing and proving that the instrument is performing at this level on a day-by-day basis is an industry need and concern and is addressed in this paper. Furthermore, with the introduction of fully automated inspection and metrology instrumentation, not only does an appropriate, easy to obtain or manufacture measurement sample have to exist, but also an objective and automated algorithm developed for its analysis. Both of these have been the objects of a study at NIST and the fundamentals are discussed in this paper. In scanning electron microscopy, two of the most important instrument parameters are the size and shape of the primary electron beam and any image taken in a scanning electron microscope is the result of the sample and electron probe interaction. The low frequency changes in the video signal, collected from the sample, contains information about the larger features and the high frequency ones carry information of finer details. In principle, if the sample geometry is known, the geometric parameters of the primary electron beam are mathematically determinable from an acquired image. The method described in this paper is based on the frequency domain representation of a scanning electron microscope image and can also be used to check and optimize two basic parameters of the primary electron beam, the focus and the astigmatism. The application of this technique to regularly check the resolution of the SEM in quantitative form also is discussed.
High-accuracy critical-dimension metrology using a scanning electron microscope
Jeremiah R. Lowney, Andras E. Vladar, Michael T. Postek Jr.
Two Monte Carlo computer codes have been written to simulate the transmitted-, backscattered-, and secondary-electron signals from targets in a scanning electron microscope. The first discussed, MONSEL-II, is applied to semi-infinite lines produced lithographically on multi-layer substrates. The second discussed, MONSEL-III, is an extension to fully three- dimensional targets. Results are given for a 1 micrometer step etched in a silicon substrate and compared with experimental data. The comparisons show that it is possible to obtain edge locations to an uncertainty of less than 10 nm. Simulations are also given for photoresist lines on a silicon substrate coated with a layer of photoresist. Techniques are developed for simulating signals for finite beam diameter from those for zero beam diameter, and for extracting signals approximating zero beam diameter from those with finite beam diameter.
Nanometer-scale dimensional metrology with noncontact atomic force microscopy
Herschel M. Marchman
Results from an extensive performance study on a noncontact scanning mode atomic fore microscope (AFM) are presented. The application of AFM to complement in-line CD metrology SEMs also is described.
Sub-0.35-um critical dimension metrology using atomic force microscopy
Kathryn Guarini, Bhanwar Singh, William H. Arnold
The critical dimension atomic force microscope (CD-AFM) provides a number of unique capabilities for in-line metrology. In this paper, we evaluate the CD-AFM as a metrology tool and discuss its capabilities and limitations for semiconductor process development and production. We report that linewidth measurements made by the CD-AFM correlate well with those made by all other techniques generally used to measure submicron features, including scanning electron microscopy and electrical probing. Measurement repeatability is limited primarily by changes in probe tip shape with increased use. When the tip is accurately calibrated, this tool provides width, height, and slope data on etched and photoresist features with nanometer resolution. Increased throughput and improved automation may make the CD- AFM a key metrology tool for next-generation process development.
Critical dimension atomic force microscopy for 0.25-um process development
Guy Vachet, Michael Young
Advances in AFM technology both in the area of tip manufacturing and tip-to-sample positioning algorithms have enabled their usage in critical dimensions applications within the fab environment. These advancements are permitting the fab engineers to measure device structures in three dimensions. Critical dimension atomic force microscopy (CD-AFM) of 0.25 um CMOS processes being developed by France Telecom CNET (Centre National d'Etude des Telecommunications) within GRESSI project at Grenoble, are presented and compared with traditional semiconductor metrology methods.
Measurement of a CD and sidewall angle artifact with two-dimensional CD AFM metrology
Ronald G. Dixson, Neal T. Sullivan, Jason Schneir, et al.
Despite the widespread acceptance of SEM metrology in semiconductor manufacturing, there is no SEM CD standard currently available. Producing such a standard is challenging because SEM CD measurements are not only a function of the linewidth, but also dependent on the line material, sidewall roughness, sidewall angle, line height, substrate material, and the proximity of other objects. As the presence of AFM metrology in semiconductor manufacturing increases, the history of SEM CD metrology raises a number of questions about the prospect of AFM CD artifacts. Is an AFM CD artifact possible? What role would it play in the manufacturing environment? Although AFM has some important advantages over SEM, such as relative insensitivity to material differences, the throughput and reliability of most AFM instruments is not yet at the level necessary to support in-line CD metrology requirements. What, then, is the most useful relationship between AFM and SEM metrology? As a means of addressing some of these questions, we have measured the CD and sidewall angle of 1.2 micrometer oxy-nitride line on Si using three different techniques: optical microscopy (with modeling), AFM, and cross sectional TEM. Systematic errors in the AFM angle measurements were reduced by using a rotational averaging technique that we describe. We found good agreement with uncertainties below 30 nm (2 sigma) for the CD measurement and 1.0 degrees (2 sigma) for the sidewall angles. Based upon these results we suggest a measurement procedure which will yield useful AFM CD artifacts. We consider the possibility that AFMs, especially when used with suitable CD artifacts, can effectively support SEM CD metrology. This synergistic relationship between the AFM and SEM represents an emerging paradigm that has also been suggested by a number of others.
Optical and Electrical Linewidth Measurements
icon_mobile_dropdown
Application of a develop end point detector as a timely and cost-effective alternative to using an SEM for CD measurement in production photolithography
Dimitri L. Velikov, Mark Goldman, Dee Hester, et al.
This paper focuses on the use of a track-mounted, in-situ develop end point (EP) to reduce CD measurement via scanning electron microscope (SEM) in the production environment. Commonly, a few wafers are selected from a lot and examined to ensure conformance with desired CDs. Typically, the wafer's image linewidth is measured. If required, a corresponding adjustment is made to the stepper's exposure energy in order to obtain the targeted CD. While this methodology works, it does have its shortcomings such as: incomplete determination of wafer-to-wafer variations, the impossibility of detecting random 'problem' wafers, and the additional backlog CD measurement adds to the SEM station -- which generally results in production bottlenecks. The use of a track-mounted, in-situ develop end point detector facilitates rapid and accurate measurement of photoresist characteristics. Due to the direct correlation between develop end point time and CDs, a detector can be used to signal out-of- spec conditions. The nature of the data collected and analyzed by the detector allows exposure and other process variations to be revealed. In addition to providing this 'watchdog' function, some detectors can be programmed to automatically correct out-of-spec CDs by varying the development cycle time. Use of an in-situ detector, as described, will have a direct bearing on reducing the use of high-cost SEMs in the production environment as well as minimizing the number of scrapped wafers.
Linesize effects on UV reflectance spectra
David H. Ziger, Thomas Evans Adams, Joseph G. Garofalo
We have investigated the effect of resist thickness, linewidth and pitch on UV reflectance spectra. This technique exploits the property that conventional novolak resists are very absorptive from 200 - 300 nm while substrates are significantly more reflective. For line/space developed resist features of constant pitch, we observe that the reflectance in this wavelength range varies periodically with (lambda) and increases linearly with decreasing linesize. The dominant factor in wavelength dependence is the constructive/destructive interference of the measurement light from the air/resist and air/substrate interfaces. Linesize dependence at constant pitch and resist thickness is predominantly controlled (within proper boundary condition regimes) by the percentage of the substrate exposed. The gross periodicity of the DUV reflection spectra for patterned films is correlated with resist thickness in a manner similar to the resist thickness dependence of UV reflection spectra for unpatterned films. Simulation of DUV reflectance from patterned films showed semiquantitative agreement with experimental results.
Scanning optical microscope integrated in a wafer stepper for image sensing
Manfred G. Tenner
Latent image phase gratings can be used for alignment purposes and monitoring the performance of wafer steppers. Scanning optical microscopy is a sensitive method to measure the phase contrast as well as the reflectivity contrast of such gratings. The contrast depends on the conversion of the photo active component in the photo resist. Therefore, parameters influencing this conversion, such as focussing conditions, exposure dose, and the efficiency of coupling light into the photo resist, can be monitored. Examples of measuring best focus, the rate constant of the conversion for the photo active component, and the exposure dose dependence of the photo resist are presented. In light of its excellent performance a scanning optical microscope integrated in a wafer stepper is a useful tool in monitoring the lithographic process.
Electrical test structures replicated in silicon-on-insulator material
Michael W. Cresswell, Jeffry J. Sniegowski, Rathindra N. Ghoshtagore, et al.
Measurements of the linewidths of submicrometer features made by different metrology techniques have frequently been characterized by differences of up to 90 nm. The purpose of the work reported here is to address the special difficulties that this phenomenon presents to the certification of reference materials for the calibration of linewidth-measurement instruments. Accordingly, a new test structure has been designed and fabricated, and has undergone preliminary tests. Its distinguishing characteristics are assured cross-sectional profile geometries with known side-wall slopes, surface planarity, and compositional uniformity when it is formed in mono-crystalline material at selected orientations to the crystal lattice. To allow the extraction of electrical linewidth, the structure is replicated in a silicon film of uniform conductivity which is separated from the silicon substrate by a buried oxide layer. The utilization of a silicon-on-insulator (SOI) substrate further allows the selective removal of substrate material from local regions below the reference features, thus facilitating measurements by optical and electron-beam transmission microcopy. The combination of planar feature surfaces having known side-wall slopes is anticipated to eliminate factors which are believed to be responsible for methods divergence in linewidth measurements, a capability which is a prerequisite for reliable certification of the linewidths of features on reference materials.
Scatterometry for Versatile Applications
icon_mobile_dropdown
Photoresist metrology based on light scattering
Joerg Bischoff, Jorg W. Baumgart, Horst Truckenbrodt, et al.
Angle resolved light scatterometry along with advanced data analysis is a promising new metrology technique to meet the challenges of today's and tomorrow's submicron technology. The measurement accuracy strongly depends on the performance capabilities of the algorithms utilized for data exploration and analysis. Presently, multivariate regression methods such as inverse least squares and principal component approaches are preferred. Substantial accuracy gains may be achieved by applying quasi-nonlinear methods, i.e. nonlinear data pretreatment followed by the usual linear regression. In this way, not only were the linewidth prediction errors in measuring developed resist lines pushed to below 20 nm, but likewise more complicated tasks such as silylation profile evaluation and latent image measurement could be addressed satisfactorily.
Prediction of light scattering characteristics of particles and structures on surfaces by the coupled-dipole method
Brent Martin Nebeker, Roland Schmehl, Greg W. Starr, et al.
The ability to predict angle-resolved light scattering characteristics of surface features, including particle contaminants and circuit structures, is identified as an important tool for the development of next generation wafer inspection systems. A model and associated code based on the coupled-dipole method used to model the light scattering is described. Then, predicted scattering signatures for polystyrene latex spheres and silicon dioxide features (nominal 1 micrometer size) on smooth silicon surfaces are reported. The surface features of interest were from the ASU/SRC block of the SEMATECH Patterned Wafer Defect Standard die developed by VLSI Standards, Inc. Finally, the computational results are compared with scattering measurements from individual particles and features. A coherent beam incident of the features on the surface had a wavelength of 632.8 nm, 7 mm beam spot size, and was at an incident angle of 45 degrees. A ringed photodetector centered on the specular reflection was used to measure the angle-resolved scatter. Over the range of scattering angles studied (10 degrees to 60 degrees), the results for differential scattering cross-section agreed to within a factor of 3 or 4.
Multiparameter CD measurements using scatterometry
Christopher J. Raymond, Michael R. Murnane, Steven L. Prins, et al.
Scatterometry, the characterization of periodic structures via diffracted light analysis, is shown to be a versatile metrology technique applicable to several processes involved in microlithography. Unlike contemporary inspection technologies, such as scanning force microscopy (SFM) and scanning electron microscopy (SEM), scatterometry is rapid, non- destructive, inexpensive and has the potential for use in-situ. Furthermore, the flexibility of the technique allows it to be used for a number of different process measurements. In the production of a sub-micron microelectronic device, a typical series of process steps could involve the deposition of a poly-Si layer on oxide, followed by the application of an anti- reflection coating (ARC) and resist layer. Thus in total there are four parameters which will ultimately affect the overall quality of subsequent processing: the linewidth of the resist, the resist height, and the thicknesses of the ARC and poly-Si. We have demonstrated that the scatterometer measurement technique is robust to changes in the thickness of underlying films. Indeed, there is sufficient information in one signature to determine four parameters at once, even when the linewidth dimensions are as small as 0.16 micrometer and the poly-Si thickness is on the order of 2500 angstrom. Results from determining these dimensions on several wafers show excellent agreement between the scatterometry measurements and measurements made with other metrology instruments (top down and cross-section SEM, and ellipsometer). For example, the average bias between nine scatterometry and cross-section SEM measurements on nominal 0.35 micrometer lines is minus 1.7 nm; for 0.25 micrometer lines, the average difference is minus 7.3 nm. In addition, results from measuring the sidewall angle (a fifth parameter) from these same scatter signatures indicate that the resist profiles at optimum focus and exposure are near-vertical. Finally, the dynamic repeatability of this technique is shown to be excellent for all of the parameters measured (linewidth, resist height, ARC thickness and poly thickness). For example, the 3(sigma) repeatability of measurements on a 207 nm linewidth is 0.75 nm and the 3 sigma repeatability for measurements on a 311 nm linewidth is 1.08 nm.
Scatterometric sensor for PEB process control
Steven L. Prins, John Robert McNeil, S. Sohail H. Naqvi, et al.
A scatterometric sensor measures the intensity of light diffracted from a periodic structure. When applied in-situ to the post exposure bake (PEB) process for chemically amplified resists, a scatterometric sensor can monitor the formation of a latent image. Sturtevant, et al and Miller, et al have shown that this application of scatterometry is viable for chemically amplified resist linewidth, or critical dimension (CD) control. A CD control system requires the prediction of the final developed CD using data collected throughout part of the PEB process. Previous work has not addressed the issue of variations in underlying film thickness; these variations may not dramatically affect latent images when anti-reflection coatings are used, but they can greatly affect the signals from scatterometric sensors. This requires a sensor design coupled to a CD prediction algorithm that can accommodate underlying film thickness variations. We have designed, constructed and tested an experimental scatterometric sensor for the PEB process which collects sufficient data to provide a robust CD prediction. The instrument was installed on a PEB module at SEMATECH, and the signals from 0.35 micrometer linewidth gratings were measured on bare silicon (Si) wafers and wafers with varying poly-Si and oxide thicknesses. Using data from the first 45 seconds of a nominal 60 second PEB, final developed linewidth predictions were achieved with a standard error of prediction of 5.08 nm for bare Si wafers and 6.89 nm for poly-Si/oxide/Si wafers. In parallel with our sensor development effort, we have developed a physical model for diffraction from latent image gratings during the PEB process. The model links a lithography simulation tool to rigorous coupled wave diffraction theory. Diffraction from a latent image grating occurs via two mechanisms: variations of the index of refraction within the resist and a slight surface- relief grating which results from dose-dependent volume loss within the resist. Simulations indicate that the surface-relief grating dominates first-order diffraction signals.
Lithographic Process Control/Process Monitoring
icon_mobile_dropdown
Improved mask metrology system for 1-Gb DRAM
Eiji Matsubara, Taro Ototake
When we started to develop the mask metrology system named the XY-5i, the photomasks were supposed to be used only until 256M DRAM generation. However, now we are sure that the photomasks can also be applied to the 1G DRAM generation, or 180 nm pattern rule on a wafer. Thus we need to develop a metrology system for the 1G bit through 4G bit DRAM generations. In order to improve the metrology system's performance, we have introduced some new technologies and succeeded in realizing the improvement of the performance ability of the XY-5i. Now the XY-5i has the high technical performance and functions which are good enough to be the mask metrology system for the new generation of 1G DRAM. Moreover, in the XY-5i, we have developed some new metrology functions, such as coordinate measurement of pelliclized masks. We could get some data of the issue regarding the variation of the coordinates between before and after its pelliclization which has been seldom discussed so far.
Spectroscopic multilayer film thickness measurement system
Masahiro Horie, Nariaki Fujiwara, Masahiko Kokubo, et al.
Accurate film thickness controls are indispensable for manufacturing defect-free semiconductor devices. Moreover, recent high integration requires simultaneous measurement of each film thickness and optical constants in multi-layers. This paper explains a microspectroscopic film thickness measurement system that measures film thickness at a very small spot (several micrometers in diameter) in several angstrom increments. This system also enables the user to measure film thickness on bulk wafers and SOI wafers, and determine optical constants of unknown films.
Automated technique for optimizing stepper focus control
Srinath Venkataram, Carrie Olejnik, Gary E. Flores, et al.
For optimum optical lithography it is critical to maintain the wafer plane in the aerial image plane of the stepper since the aerial image plane is the stepper focus plane. There are a variety of off-line measurement techniques to independently verify the stepper focus, including short step focus, pin dot, clearing gradients and phase shift monitors. However, these techniques generally require operator inspection. This paper exploits the short step focus routine and provides an alternative technique for automated inspection and analysis. The short step focus routine involves microstepping the test image across the wafer in nine different steps of focus. The test image is comprised of a large array of resolution structures (equal lines and spaces) of various geometries in both vertical and horizontal orientations. The focus increments and exposure energies are user defined. The operator conducts a visual inspection to determine optimal focus and image tilt which can then be adjusted on the stepper as necessary. The industry's progression to smaller critical dimensions, meaning higher NA tools and smaller depth of focus, implies less tolerance for errors in the determinations of stepper focus. In general, the use of traditional operator dependent visual inspection techniques suffer from subjective interpretation and require long inspection times. An alternative technique that is readily adapted to a standard test reticle and metrology tool is introduced and will show how optimal focus and image tilt from the short step focus routine can be automatically calculated using RS1 programming language (RPL) and fed back to the stepper. In order to successfully implement the KLA 5107 optical metrology system to automate the inspection and analysis, several challenges had to be overcome: (1) pattern recognition -- because the test image pattern is staggered, (2) resolution capabilities of the optical metrology tool, and (3) the development of an algorithm for data interpretation. The sensitivity of this technique to resist thickness, top and bottom critical dimensions of the test image, side-wall angles, and exposure energies are explored. The capabilities of the optical metrology tool compared with an E-beam metrology system are discussed, and a comparison of this automated technique with other prevailing techniques is discussed.
Performance data obtained on a next-generation mask metrology tool
A new mask and wafer metrology tool is under final development at Leica and will be launched in 1996. The new technical concept is discussed. Recent performance data on the LMS IPRO system at Leica's development center shows precision and accuracy data required for the 0.18 micrometer design rule device generation.
Depth-of-focus increase based on detector pixel size in optical microscopes
Wei P. Chen, Stephen D. Kirkish, Donald Parker
This paper presents an analysis of depth of focus (DOF) based on optics or detector pixel size in optical microscopes with electronic cameras. We first generally analyze DOF based on optics in different cases related to the spatial coherence of illumination and the object, and then use a design example of an infinity correction system for a review system to show DOF dependence on illumination numerical aperture. Finally, we discuss DOF increase based on detector pixel size, and then use a design example of an infinity correction system for the inspection system. Both designs are verified with simulation using CODEV, an optical design software. Both designs are used in KLA STAR-lightTM multi-surface inspection (backside glass and pellicles).
Benefits applications and data analysis techniques for linewidth multilevel experimental design
Anthony Barbieri
In contrast to other experimental methods which have just two or three settings per variable, the rationale is presented for using a large number of stepper exposures at poly or active area for certain applications (such as obtaining high correlation to E-TEST variables). How variables (which are dependent on linewidth) relate to each other can also be determined to high correlation; even linear correlation of measured poly linewidth to speed had an R2 value of 0.96. This experimental method is useful for numerous applications such as: process characterization, budgeting of CD linewidths, and correlating process variables to electrical data. Useful data analysis techniques are also shown. The experimental method is also cost- effective, requiring a small number of wafers.
Scanning Probe Metrology
icon_mobile_dropdown
Toward accurate linewidth metrology using atomic force microscopy and tip characterization
Ronald G. Dixson, Jason Schneir, Thomas H. McWaid, et al.
Atomic force microscopes (AFMs) are potentially capable of dimensional metrology with nanometer scale accuracy. Feature width measurements, however, can be severely affected by the size of an AFM probe. Indeed, for features with sufficiently steep sidewalls, a conical AFM probe may only be able to measure the width near the top of a line, with much of the apparent width at the bottom being due to the size of the probe. Although the probe contribution to the apparent feature width is generally much smaller at the top, it is often not negligible. Thus, the accuracy and value of such 'top width' measurements could potentially be improved by using another sample to independently characterize the geometry of the tip and correcting the measured top width for the finite size of the probe. We performed a test of this measurement scheme by using the NIST calibrated atomic force microscope (C-AFM), an AFM with metrology traceable to the wavelength of light, to perform top width measurements on a sample of preferentially etched Si lines. Samples of mica with nanometer sized colloidal particles deposited on them were used to characterize the C-AFM tips, and thus correct apparent top width measurements for the probe size. Except when probe damage during a measurement was severe, comparisons of the C-AFM results with cross sectional TEM yielded good agreement with uncertainties at the level of 30 nm (2 sigma).
Process improvement and cost reduction utilizing a fully automated CD SEM for thin film head pole 2 resist measurements
Paul C. Knutrud, Robert M. Newcomb
Thin film head (TFH) manufacturers are constantly striving to improve process control, eliminate scrap material and reduce the total cost of manufacturing their devices. Successful measurement and control of the Pole 2 Resist structure is a critical component of the TFH process which directly impacts disk drive performance, reliability and final product cost. Until recently, white light optical metrology systems have been the only option for measuring the Pole 2 structures. However, recent advances in TFH process technology have resulted in aspect ratios up to 10:1 which has limited the ability of the white light optical metrology systems. IVS has developed a unique metrology solution to image and measure these high aspect ratio structures utilizing the IVS-200TM CD SEM. This technology provides state of the art measurement performance for repeatability and stability which in turn has provided manufacturers with the ability to monitor the Pole 2 process and reap both technical and financial benefits.
Scatterometry for Versatile Applications
icon_mobile_dropdown
Scatterometry for CD measurements of etched structures
Scatterometry, the characterization of periodic structures via diffracted light analysis, has been shown to be a versatile technique for measuring critical dimensions in photoresist as small as 0.160 micrometer. Rapid, non-destructive and inexpensive, scatterometry has the potential to be applied to other microlithographic features as well. This paper discusses applications of scatterometry in the measurement of etched sub-um poly-Si line/space patterns. Since etched features represent the final dimensions of a finished product, the characterization of such features is important. Initial attempts at measuring the etched linewidth and height using scatterometry assumed the sidewalls were perfectly vertical. Although results from these two parameter predictions were good, our measurement algorithms suggested that the etch profiles were not square. Thus, sidewall angle was left as an unknown in our model and three parameter predictions were made. These improved results from measuring the linewidth, height and sidewall angle are presented, and comparisons to SEM measurements of the same samples are made. Finally, experiments to determine the repeatability of the scatterometer for measuring etched features were performed. Results show that the repeatability of the instrument, for both static and dynamic measurements of nominal 0.25 micrometer structures, is sub-nanometer for all parameters measured; the 3(sigma) repeatability for static CD measurements is 0.63 nm, and for dynamic measurements is 0.78 nm.
Toward sub-0.1-um CD measurements using scatterometry
Babar K. Minhas, Steven L. Prins, S. Sohail H. Naqvi, et al.
Scatterometry, defined as the angle resolved characterization of light scattered from a surface, is an attractive tool for the metrology of semiconductor devices. It is simple, rapid, non destructive, relatively inexpensive and can be used in-situ. This paper illustrates the use of scatterometry to characterize fine pitch gratings having linewidths less than or equal to 0.1 micrometer. These gratings diffract light only in the zeroth order as their pitch-to-wavelength ratio is much smaller than one, hence they are also known as 0-order gratings. Metrology of 0-order gratings brings forth new issues, chiefly (1) lack of diffraction sensitivity to variation in the grating parameters, and (2) non-uniqueness of the 'diffraction signatures.' We use the gratings in conical mounting to enhance the diffraction sensitivity and have circumvented the non-uniqueness issue in two ways: (1) limiting the parameter space of the search algorithm and (2) using different incident field polarizations. We employ constrained optimization techniques to efficiently scan the parameter space. Our results agree well with cross-sectional SEM measurements and demonstrate the feasibility of scatterometry for these structures. We are also using shorter wavelengths for the metrology of 0-order gratings, and preliminary results using (lambda) equals 442 nm demonstrate that the diffraction is more sensitive to the variation in grating linewidth and etch depth.
Thin Film Analysis and Measurement
icon_mobile_dropdown
Azimuthal dependence of coherent light scatter from patterned surfaces
Greg W. Starr, E. Dan Hirleman
In the past, the theta (measured from the specular beam) dependence of light scattered from particles and features on silicon surfaces have been measured and the results presented. This paper investigates the theta and phi dependence of light scattered from patterned surfaces and patterned surfaces with defects. The focus is to extract the defect position with respect to the feature. This additional information may be used for enhanced detection and characterization of surface defects. Scattering signature variations between features and features with defects are measured and differential scattering cross sections are calculated and compared. The motivation for experimentally measuring scattering signatures is for comparison to numerical model predictions in an effort to verify model accuracy. It is anticipated that some type of model based calibration technique will be required for the next generation laser based wafer scanners due to the complex nature of light scattering from patterned semiconductor surfaces.
Modeling for Submicron Metrology
icon_mobile_dropdown
Assessment of resist-specific isofocal behavior in optical lithography at half-micron resolution
Graham G. Arthur, Brian Martin
This paper investigates, by computer simulation using Prolith/2 and SOLID, the performance of a number of i-line photoresists at half-micron resolution in terms of focus/exposure (F-E) plots and relates their isofocal behavior to exposure and development characteristics as described by the Dill and Mack simulation parameters. Isofocal behavior is found to be independent of the exposure parameters but greatly affected by the development process and is shown to be a function of the Mack parameter, n, which is related to the resist contrast, (gamma) . In addition, this behavior is also shown to be resolution dependant. The results presented in this paper therefore assist in the choice of resist directly from resist characteristics as defined by the modeling parameters, for any particular application, while retaining the desired isofocal exposure conditions.
Subhalf-micron polysilicon gate control from 365- to 193-nm exposure
Brian Martin, Graham G. Arthur
Calculations using lithography modeling programs are described to measure the variation in linewidth in polysilicon gates which pass over typical active area topography. All linewidths are calculated in the resist image, with and without top and bottom anti-reflective coatings, and range from 0.4 micrometer at 365 nm to 0.225 micrometer at 193 nm exposure.
Registration and Overlay Metrology
icon_mobile_dropdown
Performance of new overlay measurement mark
Sang-Man Bae, Ki-Ho Baik
The lithography technology for sub 0.25 micron requires new technology to cope with the resolution limit and line width variations due to interference effects and reflections over topography as well as precise overlay accuracy. The high density deices such as 256M DRAM and 1G DRAM require still tighter overlay accuracy. At the same time, the number of overlay measurement steps are even more increased. For example, in the case of 256M DRAM, there are more than 30 overlay measurement marks and 20 making steps. In this paper, new overlay measurement marks (NOMM) are suggested, because this will result in improving not only the overlay process and yield, but also the simplicity of the measurement steps. The function of NOMM is very useful in measuring overlap accuracy among the three circuit patterns simultaneously. Finally, the NOMM implements performance in terms of high speed data analysis and economy of space on the scribe lines. In addition, NOMM can achieve superior execution in the overlay process with still higher accuracy by utilizing optimized overlay mark type.
Efficient workstation-based 3D model for optical alignment simulation
Kevin D. Lucas, Chi-Min Yuan, Andrzej J. Strojwas
A new workstation-based rigorous 3D model for simulation of light scattering by alignment structures is introduced. The model extends a successful 2D lithography model, and has been applied to the simulation of periodic 3D alignment marks on a resist covered silicon substrate. The theory behind the new model is presented, and examples are given of the model's results and computational efficiency.
Particle and Defect Metrology
icon_mobile_dropdown
Process and machine mastering employing WF-710 wafer inspection system
Jurgen Schwart, Rivi Sherman
With the growing complexity of modern processes, yield improvement is becoming more and more critical and challenging. The new Siemens Fab in Dresden is aimed to manufacture 16, 64, and 256 Mbit DRAM products. The goal is to achieve 'zero defect' leading to perfect yield, from the very beginning on.
Sub-0.25-um defect analysis on 200-mm semiconductor wafers
Kenton D. Childs, Dennis F. Paul, Stephen P. Clough
The semiconductor industry has demonstrated the need for off-line, capital intensive analytical instruments to increase semiconductor yields through quick and accurate analysis of detected defects. Leading edge semiconductor devices currently employ 0.35 micron design rule features and this is expected to shrink to 0.25 micron by 1998 and 0.18 micron by 2001. A rule-of-thumb estimate for satisfactory device yield requires that defect detection and identification is necessary at one third of the design rule. Thus current leading edge devices require defect detection and identification for defects less than 0.12 micron, decreasing to 0.08 micron in 1998 and 0.06 micron in 2001. The primary analytical tool for whole wafer sub- micron defect analysis is generically called a defect review tool (DRT). A DRT relies on energy dispersive x-ray (EDX) analysis for elemental identification. EDX provides superior analytical results for defects greater than 1.0 micron in size and can be utilized with decreasing efficiency and accuracy for sub-micron defects. EDX has great difficulty with elemental analysis of defects less than 0.25 micron, twice the current leading edge requirement. Auger electron spectroscopy (AES) has been demonstrated to be a superior elemental analysis tool for very small analytical volumes. AES has not been extensively used for wafer level defect analysis because of limitations due to the required ultra-high vacuum (UHV) sample handling and the lack of suitable defect navigation software. The development of a 200 mm UHV wafer handling system and navigation software permits the effective utilization of AES in a commercial DRT. This newly developed system, the SMART-200, is discussed in detail.
Review and characterization of defects after automatic optical inspection on patterned wafers
Pascal Bichebois, Pascal Perret, Herve M. Martin, et al.
In-line inspection and review of defects is required in the semiconductor industry for process control and yield improvement. Automated inspection tools detect defects on patterned wafers and provide inspection files. These files are translated by an interfaced review tool: the defects are then automatically presented to the operator for examination. The success of this procedure depends on the accuracy of the localization of defects. This paper presents several tools that have been used for defect analysis and characterization. The interest of different techniques is highlighted by examples: the identification and interpretation of defects encountered during the process is described. Optical microscopes are the most common review tools and are easy to use. The confocal laser scanning system provides both standard optical images and three-dimensional information. The scanning electron microscope (SEM) offers a better resolution and topographical information. Elemental analysis is available when the SEM is linked with an energy dispersive x-ray system (EDX). The focused ion beam machine makes microsections of buried defects and the atomic force microscope gives real 3-D images with high resolution. The purpose of this work is to prepare and then improve the defect classification.
Modeling for Submicron Metrology
icon_mobile_dropdown
Wafer flatness modeling for scanning steppers
Randal K. Goodall, Howard R. Huff
Model-based analysis is used to explain previous observations regarding the distributional form and numeric relationships of several key lithographic flatness quality metrics for silicon wafers. The dominant relationships are controlled by longer wavelength (tens of millimeters) surface topography, while the distribution shapes are controlled by shorter wavelength (few millimeters) topography. A lithographic flatness modeling framework is introduced which can provide guidance for specification of silicon wafer flatness for ULSI IC products. New site flatness models show that, compared to a full-field stepper, a scanning stepper can effect improved flatness performance from wafers of similar quality.
Thin Film Analysis and Measurement
icon_mobile_dropdown
Optical characterization of polycrystalline silicon thin films
William A. McGahan, Blaine R. Spady, Blaine D. Johs, et al.
Polycrystalline silicon (poly-Si) thin films are of major importance in the semiconductor industry. As a result precise and rapid determination of the thickness, optical constants, and crystallinity of the poly-Si films is an important metrology issue. Many previous optical efforts were based on the analysis of spectroscopic ellipsometric or reflectance data using effective medium approximation (EMA) models to predict the poly-Si optical constants as a function of the amorphous to crystalline silicon ratio in the film. Such models are effective if the film is nearly crystalline or nearly amorphous, but suffer from systematic inaccuracies due to the failure of the EMA approximations to accurately predict the poly-Si optical constants. Other methods such as transmission electron microscopy (TEM) can provide accurate film thickness information but suffer from being destructive, slow, and relatively expensive. In this work we present an optical model for poly-Si thin films which can accurately reproduce the optical constants of poly-Si films ranging from amorphous to fully crystallized. This model contains a single adjustable parameter which is proportional to the amorphous to crystalline ratio in the film. The model is based on interpolation between previously determined optical constant spectra from films ranging from amorphous to fully crystallized. We demonstrate the use of the model by fitting reflectance and ellipsometric data for poly-Si films deposited on thermal oxide on silicon substrates. When coupled with an accurate reflectometer or ellipsometer this model provides a nondestructive and non-contact means for accurately obtaining the thickness, optical constants, relative crystallinity, and surface roughness of poly-Si thin films.
Scanning Probe Metrology
icon_mobile_dropdown
Collection of low-energy secondary electrons and imaging in a low-voltage SEM
Diana Nyyssonen
One of the factors that influences the nature of secondary electron imaging and the subsequent metrology of submicron features in a SEM is the system's ability to collect low energy secondary electrons (LES). In a SEM, a bias voltage is applied to the detector grid to enhance collection and pull electrons from the wafer. However, at low beam voltages the bias voltage that can be applied is limited in order not to deflect or interfere with the incident beam. For even moderate aspect ratios of submicron features (3:1), there is a reduction in the collection efficiency that may affect imaging. In this paper, we use a simple model of LES trajectories to simulate both symmetric and asymmetric collection systems. Formulas for the collection angles are given as a function of the aspect ratio of the feature and parameters of the collection system. These formulas can be incorporated into Monte Carlo, diffusion or other phenomenological modeling to predict the effect of collection system design on SEM imaging. An example is given using a previously published model [Nyyssonen, Proc. SPIE Vol. 921, pp. 48 - 56, 1988]. This model computes the surface integral of a probability density function to predict SEM images. It is shown that reduced LES collection efficiency may introduce artifacts in the SEM image of both lines and trenches. These artifacts can be used as a method of characterizing the efficiency of the collection system when well- characterized samples are used.
Modeling for Submicron Metrology
icon_mobile_dropdown
Development of critical dimension measurement scanning electron microscope for ULSI (S-8000 series)
Makoto Ezumi, Tadashi Otaka, Hiroyoshi Mori, et al.
The semiconductor industry is moving from half-micron to quarter-micron design rules. To support this evolution, Hitachi has developed a new critical dimension measurement scanning electron microscope (CD-SEM), the model S-8800 series, for quality control of quarter- micron process lines. The new CD-SEM provides detailed examination of process conditions with 5 nm resolution and 5 nm repeatability (3 sigma) at accelerating voltage 800 V using secondary electron imaging. In addition, a newly developed load-lock system has a capability of achieving a high sample throughput of 20 wafers/hour (5 point measurements per wafer) under continuous operation. To support user friendliness, the system incorporates a graphical user interface (GUI), an automated pattern recognition system which helps locating measurement points, both manual and semi-automated operation, and user-programmable operating parameters.
Statistical perspectives of self-calibration
Michael R. Raugh, James M. Minor
Positional self-calibration refers to the use of an imperfectly calibrated measurement gauge in an imperfectly calibrated measuring or manufacturing machine to simultaneously deduce improved positional accuracy in the calibrations of the gauge and the machine. The self- calibration function corrects the distortions in the image produced by a high-quality machine as it processes an object in its measurement field. The original derivation by Raugh (1984, 1985) focused on abstract mathematical/geometrical foundations of self-calibration. A later paper by Raugh (1991) dealt with the problem more concretely by showing how a patterned measurement gauge with suitable symmetry properties combined with near-linear comparisons could be used for self-calibration. This poster recasts self-calibration as a statistical problem. Hence, determination of the self-calibration function becomes a problem in statistical estimation, and specification of an optimal set of gauge positions and orientations for self- calibration becomes a problem in statistical design of experiment. This representation enables one to take advantage of established statistical methods for separating signal from extraneous effects and noise using stable and efficient techniques. An example of noise is measurement error. Extraneous effects include imperfections in the gauge and its positioning in the measurement field. The concepts are illustrated by a simple example.
Scanning Probe Metrology
icon_mobile_dropdown
Calibration of scanning electron microscope magnification standards SRM484
Joseph Fu, Theodore V. Vorburger, David B. Ballard
Standard Reference Material (SRM) 484 is an artifact for calibrating the magnification scale of a scanning electron microscope. Since 1977 the National Institute ofStandards and Technology (MST) has produced seven issues of SRM484 amounting to approximately 1 150 samples in all. The standards are fabricated by electroplating alternate layers ofnickel and gold onto a substrate of a Monel sheet metal. The plate is then diced, and the individual pieces are mounted on edge in a holder. Each sample is metallographically polished to obtain a smooth surface and to reveal gold lines. The samples are calibrated using a scanniig electron microscope incorporated with a laser interferometer. A piezo flexure stage carries the sample across the stationary electron beam. A backscattered electron detector detects an intensity peak at each location where the electron beam interacts with a gold line. The displacement ofthe traveled stage between lines is monitored by the interferometer. A computer program records the intensity peaks and displacement information and determines the distance (spacing) between any two peaks. The spacing is measured from a peak-to-peak algorithm rather than an edge-to-edge algorithm in order to avoid the determination ofline edge positions. Properties ofthe SRM484 and the measurement system result in recent expanded uncertainties (at the level oftwo standard deviations) of approximately 4% for 0.5 jim spacings and 0.5% for 50 tm spacings. Key words: Interferometer, Measurement uncertainty, Scanning Electron Microscope, SEM magnification, Standard Reference Material.