Proceedings Volume 2621

15th Annual BACUS Symposium on Photomask Technology and Management

cover
Proceedings Volume 2621

15th Annual BACUS Symposium on Photomask Technology and Management

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 8 December 1995
Contents: 9 Sessions, 58 Papers, 0 Presentations
Conference: 15th Annual BACUS Symposium on Photomask Technology and Management '95 1995
Volume Number: 2621

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Photomask Pattern Generation
  • Photomask Resist and Process
  • Inspection and Repair
  • Metrology
  • Advanced Topics in Photomasks
  • Addtional Paper
  • Phase-Shifting Masks
  • Poster Session
  • The Optimum Solution: OPC and Beyond
Photomask Pattern Generation
icon_mobile_dropdown
Proximity correction for e-beam lithography
Christie R. Marrian, Steven Chang, Martin C. Peckerar
As the critical dimensions required for masks and e-beam direct write become ever smaller, the correction of proximity effects becomes more necessary. Furthermore, the problem is beset by the fact that only a positive energy dose can be applied with the e-beam. We discuss here approaches such as chopping and dose shifting which have been proposed to meet the positivity requirement. An alternative approach is to treat proximity correction as an optimization problem. Two such methods, local area dose correction and optimization using a regularizer proportional to the informational entropy of the solution, are compared. A notable feature of the regularized proximity correction is the ability to correct for forward scattering by the generation of a 'firewall' set back from the edge of a feature. As the forward scattering width increases, the firewall is set back further from the feature edge. The regularized optimization algorithm is computationally time consuming using conventional techniques. However, the algorithm lends itself to a microelectronics integrated circuit coprocessor implementation which could perform the optimization much faster than even the fastest work stations. Scaling the circuit to larger number of pixels is best approached with a hybrid serial/parallel digital architecture which would correct for proximity effects over 108 pixels about one hour. This time can be reduced by simply adding additional coprocessors.
Manufacturing performance of the ALTA 3000 mask laser writer
Brian J. Grenon, D. C. Defibaugh, Donna M. Sprout, et al.
This paper describes the manufacturing performance of the ALTA 3000 laser writer at the IBM mask fabrication facility in Essex Junction, Vermont. Current mask parametric performance for feature size control (x-bar and 3-sigma), registration and defect density of 4x and 5x reticles is presented. In addition, reliability data and write-time data for typical 64 Mb and 256 Mb reticles are provided.
Investigation of MEBES 4500 system composite performance
Jim DeWitt, Joe Watson, David W. Alexander, et al.
MEBES systems are characterized by constituent error performance, whereas masks produced on pattern generators are characterized by composite error performance. System evaluation by constituent specification is notable for the ease with which system calibration can be obtained, monitored, and maintained. Constituent specifications need to be retained for these reasons. This work investigates the composite performance of a MEBES 4500 system when generating masks compared to system constituent performance. Masks with scan-centered and non-scan- centered patterns are characterized and compared with both MEBES-based MARKET metrology and independent tool-based metrology.
Production performance of the EBES4 electron-beam lithography system
David M. Walker, Sheldon M. Kugelmass, K. A. Murray, et al.
The EBES4 electron beam lithography system was designed to optimize overlay and edge placement accuracy. Unique features such as 1/64 micrometer vector scan addressing, figure by figure dose modulation and a high brightness thermal field emission (TFE) gun support advanced application requirements such as electron proximity effect correction (PEC) and optical proximity effect correction (OPC). An EBES4 system has been installed, completed acceptance testing at Diamon Images, Inc. and is currently writing leading edge reticles in production mode. This paper describes the system performance and stability from both acceptance test data and production evaluations of the prototype EBES4 system installed for production. Data describing pattern placement, overlay, CD uniformity, dose modulation, butting, line edge roughness and reliability of performance is presented. A major advantage of EBES4 is the implementation of electron proximity effect correction by dose modulation of individual figures. Spatial dose corrections are calculated by commercially available software packages such as PROXECCO that refracture data and calculate dose corrections. CATSTM pattern preparation software retains the dose information and formats the writing of frequency multipliers within the EBES4 pattern files. Improvements in CD linearity using PROXECCO PEC correction software are described.
Integration of an advanced laser writer into a manufacturing environment
The integration of an advanced high resolution laser lithography system for sub-0.50 micron technology, utilizing a 32 beam, 8 pass exposure writing strategy, in a merchant production mask-making environment, is explored. The ALTA-3000 tool represents the latest evolution of mask lithography platforms and, as such, has several advantages over the traditional e-beam or CORE exposure systems. For example, on a laser tool there is no requirement for a high vacuum system, and the complexities associated therein. Unexposed masks are not carried in individual cassettes, as in an e-beam system, and therefore system performance is not subjected to these error sources. Freedom from the potentially adverse affects of these sub- systems should appear as improvements on product performance in the areas of registration and defect control. The additional gray scales of the 32 beam architecture enable increased averaging of the individual beams, thus leading to improvements in print performance, relative to earlier versions of laser technology. The production capability of the ALTA-3000 is analyzed to determine what type of routine performance can be expected in terms of resolution, linewidth control, linearity, registration, defect control, and printing speed. The primary goal of this evaluation is to determine if the performance of the system is capable of meeting reticle requirements for 64 and 256 megabit chips and 5th and 6th generation microprocessors, which are expected to require 0.35 and 0.25 micron geometries on the wafer. The evaluation revealed the basic capability exists, however, additional work must be done in the area of registration control to improve the yields necessary to support high volume production for these technologies.
Photomask Resist and Process
icon_mobile_dropdown
Evaluation of commercial and experimental resist materials for use in MEBES mask making
Charles A. Sauer, Robert L. Dean, Etsuya Morita, et al.
The SIA roadmap has identified CD control as a critical issue in mask making. PBS, the most popular resist used for electron-beam mask making in the U.S., may not perform at the level required for production of 250 nm devices. There is a need in the industry today for precise CD control and tight control of CD uniformity, as well as a desire to dry etch thin films on masks. These industry trends make the use of an alternative resist attractive. A project was initiated to determine if an acceptable substitute to PBS exists. A group of eleven negative and positive resists were examined. These included chemically amplified materials, two part- novolacs, and a silicon-containing resist, among others. The resists were evaluated by using design of experiments (DOE) methodology whenever possible. All masks were exposed on 10 kV MEBES writing tools. The results were tabulated and compared, using a SEMATECH criterion for acceptability. Results are presented, including optimization of some of the materials for sensitivity, process robustness, and dry etch capability. While none of the materials met all criteria, several resists performed at a level that make them candidates to replace PBS. Several options are presented that are of interest to the mask maker contemplating process changes to accommodate 250 nm and 180 nm technologies.
Plasma etching of chromium films in the fabrication of photomasks
To meet the advanced CD uniformity and resolution requirements of state-of-the-art maskmaking, dry chrome etch processing may be required. Dry etching is a more anisotropic process, significantly reducing etch undercut. The absence of undercutting allows the lithographer to image the resist at the iso-focal point, eliminating the need to underexpose to maintain CDs. Also, dry etch parameters can be precisely controlled via a microprocessor- controlled etch system with a highly accurate parameter-metering system that ensures greater process control. Using design-of-experiment methodologies, a chrome plasma etch process (using OCG-895i) was developed. This work proves the feasibility of plasma etching chromium patterns on photomasks. The results show an etch that has excellent uniformity, is anisotropic, and has excellent edge quality. Also, resist selectivity is high for the etching of thin chrome films. SEM results show a significant reduction in the bias needed to achieve nominal CDs. As with many dry etch processes, loading and microloading effects (i.e., localized pattern density effect on etch rates) are a concern. Initial investigations of loading and microloading effects were conducted. Results suggest that due to the high anisotropy of the etch, microloading is not an issue. However, plate loading (or the amount of chrome removed) increases etch times and can result in radial etch patterns. Loading effects must be minimized or eliminated to optimize etch uniformity.
Novel techniques on photomask blanks enhancement for the laser reticle writer
Hideo Kobayashi, Keishi Asakawa, Yasunori Yokoya
The laser writer (CORE, ALTA) has come to the front for advanced reticle fabrication, so that photomask blanks enhancement is much more to be desired for the application. We have aimed at a resist to accomplish the blanks enhancement by studying soft-baking conditions as the overall performance of a resist could be significantly affected by the baking conditions. During the study, we developed and established a novel technique to observe a resist behavior to the baking conditions, which is called DRAUGHT: 'dissolution rate analysis in unexposed area to gain the highest tone of a resist.' The study also resulted in a suggestion of indispensability of another novel technique called CPQ: 'chilled plate quench' to obtain superior CD uniformity with a resist on the leading edge 6025 blanks. By employing DRAUGHT and CPQ, an optimization and enhancement of photomask blanks was carried out, and the potential of a resist was fully brought out under the optimal baking condition probed out by the novel techniques. This paper describes details of our findings on the novel techniques of DRAUGHT and CPQ, results of photomask blanks enhancement, and an initial evaluation result of new resist candidates for the laser reticle writer.
Contributions by blank vendors to critical dimension and defect errors
As wafer fab technology proceeds into smaller images over larger field sizes, there is a need for tighter critical dimension (CD) uniformity and smaller allowable defect sizes. The maskmaker is required to reduce these sources of error as much as possible at the reticle level. As the lithography and process contributions are reduced, contribution from the mask blank itself becomes significant. Selection of the best vendor for optimum CD and defect performance becomes critical. Unfortunately, the best vendor for CD uniformity may not be the best for defect density on certain product types, and vice versa. An additional complication is that the most critical specifications are required over larger areas of 6 multiplied by .250 substrates which have not yet been optimized by blank suppliers.
Investigation of GMC for CD uniformity benefits
Christopher P. Braun, Michael W. Stohl, Anthony E. Novembre, et al.
Long used as a replacement for COP in making 1:1 photomasks, poly(glycidyl methacrylate- co-3-chlorostyrene) (GMC), a negative acting e-beam sensitive resist, has recently been under investigation for additional applications, such as tight tolerance CD masks and 5X reticles. The process for GMC is straightforward and resilient within a manufacturing operation. In addition, the required process dose of 2.5 (mu) C/c2 does not affect exposure time in a limiting way. This study has demonstrated CD uniformity less than 30 nm 3-sigma and showing no CD deviation from 50% - 150% of nominal develop time. GMC shows linear CD growth with over-exposure in the range from 80% to 200% of nominal, with X vs. Y CD tuning accomplished by the use of MEBES auto-tap blanker setting. Preliminary defect results are presented and conclusions are drawn that consider GMC as an easy to use alternative for critical CD layers.
Inspection and Repair
icon_mobile_dropdown
Photomask production integration of KLA STARlight 300 system
This paper describes the use of the KLA STARlightTM 300 inspection system for advanced photomask manufacturing and development. STARlight's combination of state-of- the-art defect detectivity and high speed helps to streamline final mask inspection and improve product quality. For advanced development, previously undetected defects can now be identified, their effects on printability can be quantified, and strategies to eliminate them can be implemented.
Current technological status of spatial-filtering method for soft defect detection
Tsuneyuki Hagiwara
Laser-based mask inspection systems are indispensable to attaining better yield, in both the semiconductor manufacturing process and the mask manufacturing process, because of their high throughput. We describe this issue citing the operational principle of our AM-601D (A reticle particle inspection system that we manufacture, rated sensitivity is defined by 0.5 micrometer polystyrene latex spheres), which is based on a spatial filtering method with a raster scanning of a focused laser beam.
Inspection system qualification and integration into the mask manufacturing environment
Rosanne LaVoy, Ron Fujioka
Integration of a mask inspection system into a manufacturing environment poses new challenges to both the inspection engineer and the equipment supplier. Traditional specifications (limited primarily to sensitivity and uptime) are no longer sufficient to successfully integrate a system into a 7 by 24 manufacturing area with multiple systems. Issues such as system sensitivity matching, sensitivity characterization by defect type, operator training and certification standards, and real-time SPC control of the systems must be addressed. This paper outlines some of the techniques Intel Mask Operation uses for integration of a new inspection system into the manufacturing line. Specifically moving a beta- site type tool out of the beta-site mode and into volume production. Examples are presented, including installation for manufacturing (including ergonomic modifications), techniques for system-to-system matching, use of SPC charts to monitor system performance, and operator training/certifications. Relationships between system PMs, or other environmental changes, and the system sensitivity SPC control charts also are discussed.
Printability of laser mask repairs at deep UV
James A. Reynolds, Franklin M. Schellenberg
Over 50% of today's 5X reticles require some sort of repair to meet the zero defect criteria. A successful repair must remove materials so the aerial image of the defective site is identical to that of a nondefective site within the tolerance required by the printing process. Shorter printing wavelengths increase sensitivity to surface roughness and deposited films. In this study, three different laser repair techniques were used to remove selected defects from a standard KLA defect printability reticle and the reticle was printed onto wafers at 248 nm. An atomic force microscope (AFM), aerial image measurement system (AIMS) and scanning electron microscope (SEM) were used to evaluate the reticle and wafer sites.
Effect of laser mask repair-induced residue and quartz damage in sub-half-micrometer DUV wafer processes
In this paper, the effect of laser ablation induced carbon residue and quartz damage near the mask repair region in a sub-half-micron DUV wafer printing process is discussed. In the study, we found that the laser ablation induced carbon residue and quartz damage during a clean-up process of a clear intrusion mask defect repair could cause both phase and transmission errors near the repaired region. As a result, the printing characteristics of the resist in the repaired region are different than that of the defect-free region, especially at defocus conditions. At zero defocus, the resist critical dimension (CD) difference between the repaired and defect-free regions is mainly determined by the repair edge error and the amount of transmission loss which is due to the quartz damage and carbon residue in the clear mask region. At positive defocus, the repaired region tends to print narrower than that of defect-free region and vice versa for the negative defocus conditions. This phenomenon is the result of quartz damage induced phase error in the clear mask area near the repair. This quartz damage induced effect is more pronounced at 0.25 micrometer regime than that of 0.4 micrometer regime. In the study, we also compared wafer level results of laser repaired features to that of focused ion beam repaired features to identify the carbon residue and quartz damage induced effects in the laser repair. Our simulations also predicted the above observed experimental results.
Metrology
icon_mobile_dropdown
1995 mask industry quality assessment
Chris Bishop, Al Strott
The third annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of quality and delivery. This year's assessment is enhanced to include the area of safety and further breakdown of the data into 5-inch vs. 6- inch. The data compiled includes shipments, customer return rate, customer return reason, performance to schedule, plate survival yield, and throughput time (TPT) from 1988 through Q2, 1995. Contributor identities remain protected by utilizing Arthur Andersen & Company to ensure participant confidentiality. Participation in the past included representation of over 75% of the total merchant and captive mask volume in the United States. This year's assessment is expected to result in expanded participation by again inviting all mask suppliers domestically to participate as well as an impact from inviting international suppliers to participate.
Identifying the components of mask image-size variation
Andrew D. Pond
As technology continues to advance in the semiconductor industry, the need has evolved for smaller and more complex images. The resulting tighter image size specifications have forced mask manufacturers to place increasing emphasis on process optimization to achieve control of image size variation. A key element of this optimization is the reduction of image size variation across a mask. As the within-mask variation specification tightens, it becomes increasingly important to identify all nonrandom sources of variability. These nonrandom, or systematic, sources of variation are those which are, theoretically, controllable and, therefore, candidates for elimination. If they can be identified as to type and amount of contribution, then the engineering community will have a better understanding of where to effectively focus its optimization efforts. This paper presents a simple yet powerful method of regression analysis for identifying both the type and amount of systematic sources of image size variation across a mask. The types of systematic variation discussed include radial, side-to-side, and axis (X-to- Y delta). Also presented are ways in which these sources of variation are identified and contributions quantified. Examples from simulation and actual product data are given.
Repeatable mask metrology for next-generation lithography tools
Steve L. Hentschel, Henry H. Kamberian, Julius Kovatch
Recent advances in pattern placement accuracy by photomask lithography tools are requiring much tighter repeatability specifications from the metrology equipment used in the characterization and monitoring process of these reticle writing systems. As pattern positioning accuracy specifications for the next generation tools (i.e., MEBES 4500 and ALTA 3000) dip below the 40 nanometer mark, the metrology tool must maintain a pattern placement measurement precision four times smaller than the writing tool, or less than 10 nanometers to satisfy current industry standards. The newest line width and coordinate registration metrology tool from Nikon, the Laser XY-5i, can measure photomasks and reticles with sub-10 nanometer precision. Recent acceptance test results as well as long term stability data (2-4 months) from a tool in a production environment prove the XY-5i worthy to characterize and monitor the newest mask and reticle lithography tools. A road map for future improvements and specification reduction will show the XY-5i capable of meeting the industry's metrology needs well into the 0.25 micron device generation and beyond.
Improved estimates of the range of errors on photomasks using measured values of skewness and kurtosis
Henry Chris Hamaker
Statistical process control (SPC) techniques often use six times the standard deviation sigma to estimate the range of errors within a process. Two assumptions are inherent in this choice of metric for the range: (1) the normal distribution adequately describes the errors, and (2) the fraction of errors falling within plus or minus 3 sigma, about 99.73%, is sufficiently large that we may consider the fraction occurring outside this range to be negligible. In state-of-the-art photomasks, however, the assumption of normality frequently breaks down, and consequently plus or minus 3 sigma is not a good estimate of the range of errors. In this study, we show that improved estimates for the effective maximum error Em, which is defined as the value for which 99.73% of all errors fall within plus or minus Em of the mean mu, may be obtained by quantifying the deviation from normality of the error distributions using the skewness and kurtosis of the error sampling. Data are presented indicating that in laser reticle- writing tools, Em less than or equal to 3 sigma. We also extend this technique for estimating the range of errors to specifications that are usually described by mu plus 3 sigma. The implications for SPC are examined.
Method to produce a reference grid artifact for matching a MEBES 4500 system to an external reference
Jim DeWitt, Joe Watson, Thomas P. Coleman, et al.
It has been shown that mask composite pattern position errors can be reduced by more than 40% on the MEBES 4000 system if a reference grid is used to match a MEBES 4000 system to an independent metrology tool. It has also been shown that matching between MEBES systems can be significantly improved by use of the dynamic grid matching (DGM) feature of the MEBES 4500 system. Several methods of grid matching are possible on the MEBES 4500 tool, including generation of a physical reference artifact or 'golden plate.' This work defines a method to produce a golden plate artifact for use in system grid matching. The technique uses a second level (phase shift mask) alignment capability to a zero level target to place a reference grid pattern on the reticle. Subsequent exposures of this pattern overlaid on the same substrate with different orientations serve to reduce systematic and random errors of the exposure tool. The processed image can then be used as a reference artifact for different systems. If necessary, the procedure can be iterated to further improve accuracy. Results of this methodology to produce an artifact are presented as well as its application in system matching to reduce composite positional errors as measured by independent metrology tools.
Advanced Topics in Photomasks
icon_mobile_dropdown
Next-generation mask strategy: accuracy and mask-size survey and discussion results at PMJ '95 rump session
We report here survey and discussion results on mask accuracy and mask size at the 1995 PMJ (Photomask Japan) Rump Session. The questionnaires consist of mask size, lithography error budget, and mask error budget for 64M DRAM, 256M DRAM, and 1G DRAM. The number of replies was 52, 26 in advance and 26 on site. Seven panelists presented short papers on mask accuracy from the technical fields of device, lithography, mask making, and mask- related equipment. The discussion results of panelists also are shown.
Ergonomic risks in mask manufacturing and methods to combat them
Larry Gardner, Al Strott
A growing concern throughout the world is the increasing occurrence of cumulative trauma disorders (CTDs) or repetitive motion injuries. Countries worldwide are struggling over the correct way to respond to the legal aspects of the problem, given the difficulty of root cause identification of the injury. The mask industry is no exception to this. Some companies may not be aware of the problem. Some companies may be aware, but not concerned. The reality is, however, that the problem exists and should not be ignored. Eventually, regulatory agencies such as OSHA, will take a position or stance on recognition of this as an injury status making it impossible to ignore. Companies who have not been proactive in the prevention of ergonomic injuries may find themselves in a crisis reactive mode that may cost them thousands of unplanned dollars. In this paper, we expand on the awareness of CTDs as a growing problem. We also share the actions that Intel is taking to address this problem. It is the authors' hope that the awareness and sharing presented in this paper will result in the sharing of experiences among the mask suppliers, so that we can all be successful in addressing this challenging issue.
Advances in stencil mask technology: control of distortion in ion-projection lithography masks
John Charles Wolfe, Alex R. Shimkunas, John Melngailis, et al.
We study the problems of (1) pattern-dependent, (2) thermal, and (3) bonding distortion in stencil masks for ion projection lithography. (1) Pattern-dependent distortion was found to consist mainly of a correctable magnification error in representative circularly symmetric masks whose amplitude is determined by the size and average density of the pattern. After magnification correction, distortion decreases rapidly with increasing modulation frequency, falling to values below 5 nm for spatial frequencies greater than 0.23 cycles/mm. (2) We show that the use of a cold cylinder to enhance radiation cooling can limit temperatures to within 1.5 K of 300 K over the surface of 120 mm membrane. This minimizes pattern-dependent, conductive heat flow and reduces distortion to below 10 nm. (3) An aluminum metallic bonding technology is described for attaching silicon support rings to membranes with a radial bonding stress below 1 MPa. We conclude that the most serious potential distortion problems for silicon stencil masks should have practical solutions.
Fabrication processes for SCALPEL mask blanks
Harold A. Huggins, Kevin J. Bolan, James Alexander Liddle, et al.
SCALPELTM, (scanning with angular limitation projection electron-beam lithography) is a projection electron-beam lithography technique with the potential for high resolution and throughput that can extend the state of lithography below 0.18 micrometer. The success of this technology, developed at AT&T , is directly connected to the ability to manufacture a robust SCALPEL mask blank. An error budget analysis has been performed on the SCALPEL mask. This analysis has generated specific requirements for the mask blank. In this paper we discuss their impact on the mask blank fabrication and show that we are making good progress towards meeting these requirements.
24"x 20" precision photomasks manufacture
Graciela R. Guel, Martin Boothman, Daniel R. Rector, et al.
Large area masks (LAMs) and 1X lithography are being used in the manufacturing of large area electronic devices. Some of these devices include, but are not limited to: flat panel displays (FPDs), active matrix liquid crystal displays (AMLCDs), electroluminescent flat panel displays (ELFPD), plasma display panels (PDPs), multichip modules (MCMs), and advanced interconnect systems. The use of LAMs along with 1X lithography reduces the cost of manufacturing these types of devices. However, there are several challenges in the manufacturing of LAMs. The first challenge is the lack of large area, quality substrates with quality coatings. The different types of substrates and the coatings presently available for large area photomasks are reviewed. The second challenge comes in dealing with the limitations of commercially available exposure systems used in writing LAMs. In this paper, we present an overview of several exposure systems, now available, and their resolution capabilities. The third challenge is the lack of availability of systems for the processing of photomasks larger than 14' by 14'. Processing systems for manual and automatic plate loading are also discussed. The final challenge to manufacture LAMs is inspection and quality certification. Presently, there is a void of commercially available metrology tools that can be used to measure and quantify defects on LAMs. Metrology techniques available for certifying finished LAMs are discussed along with their quality assurance capabilities. Current LAMs availability and specifications are also presented including LAMs with active areas as large as 20' by 18' (508 by 450 mm) and CDs of 2.0 micrometer.
Addtional Paper
icon_mobile_dropdown
Phase-Shifting Masks
icon_mobile_dropdown
Actual use of phase-shift mask
Yoshiro Yamada, Hiromasa Unno, Kazuaki Chiba, et al.
Attenuate phase shift masks have been developed for practical use because there are less limitations on the design, and mask defect repairs are easier. However, phase shift mask manufacturing technology improvement is needed not only for control of phase shift or transmission, but also higher accuracy and more precise patterns such as KrF application or for 4X reticle applications. This report shows the manufacturing method of the MoSiON attenuate phase shift mask with Cr border and its quality encourages its evaluation as a promising technique for finer patterns.
Embedded attenuating phase-shift mask: printability of defects
Rajeev R. Singh, Alvina M. Williams, Chi-Min Yuan, et al.
The manufacture of an embedded attenuating phase shift mask (E-APSM) is the simplest among all the phase shift mask (PSM) types. This is because an E-APSM provides the necessary attenuation and phase shift requirements using a single layer absorber film. Therefore, the tasks of patterning, inspection and repair are much easier to accomplish than for a multi-level quartz etched or SOG/SiO2 coated PSM. Reports in literature indicate that an E-APSM, also referred to as a single-layer half-tone PSM, is likely to be used for the contact masking layer in the manufacture of 64 M-bit DRAMs. It has also been stated that defect-free E-APSMs will be manufactured using currently available mask making tools. Therefore, it could be inferred that the defect specifications for an E-APSM are expected to be the same as that for a standard chrome mask. Perturbation modeling studies indicate that this should be true. An experimental study of repair and printability of defects on contacts on an E- APSM, using a chrome-based embedded attenuating film was performed. Exposures were made on an i-line stepper with NA equals 0.6 and sigma equals 0.6. Oxide wafers were coated with a high contrast i-line resist and the contact pattern was transferred into the oxide using a decorative etch process. Measurements were made using a SEM. The wafer results were also compared with printability studies done using an aerial imaging measurement system. The results of repairs done on 1 micrometer size defects on 2 micrometer size contacts indicate that the currently available laser repair tool was successful in restoring the lithographic performance of the E-APSM contacts to an acceptable level.
Side-lobe suppression in halftone PSM with optical proximity correction
In-Gyun Shin, Sung-Chul Lim, Sang-Gyun Woo, et al.
We simulated half-tone phase shifting masks (HT-PSMs) with dummy patterns where side-lobe effects occur. The result is that 180 degree phase angle dummy patterns between contact holes help to reduce side-lobe effects without losing peak intensities of mask patterns. We prepared experimental masks according to the optimum results of simulation, and the actual experiment produced the same results reducing side-lobe effects as the simulation.
Deep-UV attenuated phase-shift mask for a quarter-micrometer photolithography
Suigen Kyoh, Hideaki Sakurai, Takayuki Iwamatsu, et al.
A set of deep UV attenuated phase shift masks (PSMs) was fabricated to clarify the correlation between PSM optical characters and the printing performance. A SiNx single-layer film was adopted as a phase shifter which works as an attenuator simultaneously. We fabricated nine PSM test masks in which both phase shift and transmittance were varied. In these masks, phase shift ranges from 176 to 205 degrees and transmittance ranges from 6.4 to 13.1%. The target feature size of the experiment was set to that of 256 M DRAM. Line/space patterns under 0.25 micrometer and the contact holes under 0.3 micrometer have been evaluated. The evaluation was made using an exposure-defocus window analysis from measured aerial images. Each mask was compared by the calculated depth of focus (DOF) at 10% exposure latitude. The experimental results show that shifter optical characters do not play an important role in case of line/space patterns. However, the phase shift error leads to serious reduction of DOF for hole patterns. In using high transmittance PSM, mask bias is inevitable to print under -0.30 micrometer hole patterns because of the side-lobe peak. To obtain the maximum DOF, mask bias should be decided with meticulous care.
Poster Session
icon_mobile_dropdown
Large-area high-quality photomasks
Torbjoern Sandstrom, Leif Odselius
The LRS family of laser scanning pattern generators with stages 600 by 600, 800 by 800, and 1100 by 1100 mm, a selection of optical resolutions, and lasers for chrome and photographic emulsion cover the complete range of precision large-area masks. The first large-area system was delivered in 1992, and there are now 11 systems installed or on order for applications ranging from precision metal etching to shadow masks and high-end chrome masks for AMLCDs and field-effect displays. These writers match the requirements for the next generation of large screen printers. It is technically feasible to build a full-field projection printer with resolution and geometrical corrections similar to those of a stepper, but with no stitching or intra-field distortion. The pattern quality would be that of the mask and higher productivity would result. The combined experience of masks for flat panels and shadow masks makes the LRS system well characterized for visual display applications. In particular all systematic errors visible in the finished displays are suppressed to very low levels. The LRS writers satisfy the needs for high-quality large-area photomasks, including masks for AMLCDs.
Optimizing the use of multipass printing to minimize printing errors in advanced laser reticle-writing systems
Henry Chris Hamaker, Gary A. Burns, Peter D. Buck
The use of multipass printing to reduce the magnitude of the registration and critical- dimension (CD) errors on photomasks through averaging has been demonstrated in laser- scanned reticle-writing systems, such as the ALTAR 3000 and the CORER family of products. In this paper, we discuss recent efforts to optimize the efficacy of the averaging in these tools. Key error sources in the systems are identified, and the degree to which multipass printing reduces their contributions to the total error budget is considered. Special consideration is given to the polygon and brush errors, which have been further reduced in the ALTA 3000 with a novel averaging technique employing uneven spacing of the beams in the brush. The tradeoff between throughput and printing precision is examined. Using modeling results, it is shown that the default number of passes for the CORE is optimal in maximizing the print quality. More passes yield diminishing returns due to the dominance of unaveraged systematic errors, whereas fewer passes do not allow the full benefits of the averaging to be obtained. Experimental data are presented which also support these conclusions. Comparing the two- and four-pass printing modes of a state-of-the-art CORE, the benefits of the latter are revealed primarily in CD control, where the intensity variations are the greatest error contributor.
Evaluation of thin PBS resist films for improved feature linearity
Larry C. Davis, Christopher J. Goetz, Larry J. Watson
In evaluating the lithography road maps for the semiconductor industry, the continued development of techniques to extend the utility of optical methods through the 64- and 256- Megabit device generations seems assured. These developments will drive the printable feature size on 5X reticles to 1.25 micrometer. Other improvements, such as optical proximity and phase shift techniques, will require reticle feature sizes of less than 0.5 micrometer. As a result, feature linearity will become a critical mask parameter. This paper describes an evaluation of thin PBS resist films (less than 4000 angstrom) to improve feature linearity. In addition, the adaptation of the use of these thin resist films to a manufacturing environment is detailed. The discussion is centered on classical resist characteristics and the results obtained from the utilization of thinner resist structures in the manufacturing of photomasks.
Shifter slope variation effect of embedded half-tone phase-shift masks
Jongwook Kye, Seong-Yong Moon, Sang-Gyun Woo, et al.
We have examined focus behavior and process latitude of contact hole patterns on embedded half-tone phase shifting masks (HT-PSM) with simulation and experimentally measured aerial images. The results of simulation and experiment show that the shifter side-wall profile, transmittance, phase angle of shifter, and thickness affect the aerial image profile. Also, it is found that PSMs are affected by mask topography more than binary intensity masks are. AIMS (aerial image measurement system) measurements and actual experiments confirmed that shifter profiles have an effect on the best focus position, not on the focus latitude.
Printability of opaque repairs for DUV EPSM clear defects at sub-half-micrometer design rules
Pei-yang Yan, Joan McCall, Robert F. Hainsey
In this paper, the effect of opaque film deposition for 6% embedded phase shift mask (EPSM) clear defect repair in the wafer level via experiment and simulation are discussed. In the experiment, a 248 nm printing tool with 0.5 NA and 0.6 partial coherence were used. All the repairs were done with a laser repair tool. The original clear mask defects are placed on 0.26 micrometer and 0.44 micrometer mask dark lines (1x). The repaired areas as large as 0.26 micrometer multiplied by 0.7 micrometer were studied experimentally. We found in our study that the resist critical dimension (CD) of an opaque repaired region (line) tends to print narrower than that of a defect-free region in a DUV wafer process due to the transmission and phase mismatch. This result is consistent with our simulation prediction. This line narrowing effect could become an issue at 0.25 micrometer design rule since the process window at that design rule is usually very small as compared to that of larger design rules. In the experiment, we also observed resist CD asymmetrical response to the defocus due to laser damage to the quartz. This quartz damage is induced during a follow-up laser ablation process to trim off the excessive repair material near the line edge.
Masks for laser ablation technology: new requirements and challenges
James L. Speidell, Doris Pulaski, Rajesh S. Patel
Laser ablation is used as a dry patterning process in which an intense beam of light from an excimer laser is used to directly pattern a material. The laser ablation patterning process is relatively new and has many advantages such as low cost and high throughput. This process has found extensive applications in the microelectronics industry for patterning of polymer materials. A typical laser ablation tool is very similar to a conventional optical wafer stepper, consisting of an illumination source, optics, mask and a substrate. The primary difference is the wavelength and the intensity of the light used in the ablation process. Conventional chromium coated quartz masks are incompatible with laser ablation due to a low damage threshold. This paper discusses a mask technology which has been developed specifically for excimer laser ablation. The mask fabrication processes and results are discussed.
Quality assurance of dielectric masks for laser ablation technology
Doris Pulaski, Rajesh S. Patel, James L. Speidell
Dielectric masks are one of several types of masks used for high energy excimer laser ablation. Excimer laser ablation is a process used to directly pattern materials without the use of resists or wet processing. The dielectric masks are formed from a multilayer stack of dielectric films deposited on a quartz substrate. These masks have low reflectivity in visible light, and the dielectric stack thickness ranges from 0.4 micrometer to 1.0 micrometer to achieve an optimum reflectivity for a specific application. These properties present the most significant challenges in the inspection and quality assurance of dielectric masks. This paper discusses the methods of critical dimension measurement and defect inspection that have been developed for dielectric laser ablation masks. Printability of defects and the application of a unique scanning inspection tool which uses ultraviolet light are discussed. Manufacturing performance data also are presented.
Further work in optimizing PBS: is it capable of meeting specifications for 256 Mbit DRAM reticle manufacturing?
Robert L. Dean, Charles A. Sauer
This paper examines the steps needed to improve CD uniformity to meet desired goals for 250 nm optical lithography. The exploratory study of a number of different approaches included development work on both APT 9155 and Hammatech developer tools, an assessment of different developers and rinses, an examination of solvent delivery systems, and materials evaluations such as resist annealing and determination of resist thickness variations at plate edges. The goal for this project is to reach a 25 nm 3 sigma uniformity. Much of the work focused on CD uniformity in a 132 mm field. Improvements to the PBS process were made with a gravity-flow solvent-delivery system, the use of less aggressive (slower) developers, and the use of single or multiple puddles with the Hammatech tool. Improvements were also observed with higher dose, thinner resist films, and smaller spot sizes. With the initial Hammatech process, uniformity was improved with PBS at 2.0 (mu) C/cm2. The results are plotted. Progress over the initial results is predicted with improvements in materials, optimization of the Hammatech puddle process, and automated gravity-flow solvent delivery. Better performance is also expected with higher doses, smaller spot sizes at the equivalent address, optimum solvents, and thinner resist. These results show that there are still improvements to be made to PBS, which make it a potential candidate for 250 nm reticle manufacturing.
X-ray mask fabrication techniques for micromachining
Yuli Vladimirsky, Olga Vladimirsky, Volker Saile, et al.
In this paper we present specifics of x-ray mask fabrication suitable for high-aspect ratio microlithography in micromachining. Results of fabrication and exposures using x-ray masks with approximately 4 micrometer thick gold absorber (one level resist) are illustrated. For conventional x-ray masks commercially available substrates were used: B-doped Si membrane (2-3 micrometers thick) on a 4' Si wafer bonded to a Pyrex glass ring. A new approach -- the transfer mask technique -- is demonstrated. This technique is based on forming an absorber pattern directly onto the resist surface of the sample. The transfer mask method is suitable for any radiation (visible, UV, and x-rays) and is based on the use of a master mask (optical or x- ray) to achieve patterns with desired aspect ratio. When used in conjunction with multiple x- ray exposures and sequential developments the transfer mask method produces patterns with extremely high aspect ratio.
Mix-and-match lithography technology on 6-in. wafers for nanofabrication
Shyi-Long Shy, Tien Sheng Chao, C. H. Chu, et al.
This work describes the mix-and-match lithography technology for 0.1 micrometer device fabrication including a resist patterning process using a G-line stepper and an e-beam lithography system on 6 inch wafers, device pattern layout and device fabrication. A high resolution positive type e-beam resist combined with a high throughput G-line stepper is found to be ideally suitable for fabricating a device with nanometer scale.
Powerful inspection and metrology tool for micro- and nanofabrication
Shyi-Long Shy, Tan Fu Lei, Kazumitsu Nakamura, et al.
In this paper, a metrology for micro- and nanofabrication process has been implemented using an atomic force microscope (AFM). Deep submicron patterning on wafer and chromium photomask were done using well established e-beam direct write technology and evaluated using AFM. A fine pitch control method was proposed to fabricate grating pitch mask for the pitch measurement of AFM. It can finely control the grating pitch to 1 nm, less than the most pattern data unit (5 nm) used in electron beam lithography. AFM is a powerful metrology tool for deep submicron process. AFM was employed as an inspection tool for the evidence of the existence of quantum dots on the GaAs substrate, the quantum dots or islands can be inspected clearly. Good performance is obtained.
Low-cost photomask inspection system
Damayanti C. Gharpure, Sunil K. David
The competitive demand for increased production rates and tighter quality control in manufacturing integrated circuits requires inspection at higher speeds, finer resolution and at various stages during fabrication, all at an affordable cost. Manual inspection is tedious and entails a degree of concentration that is difficult to maintain over long periods of time. This paper presents a simple, low cost photo mask inspection system based on an IBM compatible PC. Both the reference comparison as well as feature extraction approaches have been implemented for guaranteed defect detection. The defects are further analyzed to obtain details regarding the location, dimension, and type of defect. The system also generates a diagnostic report providing detailed information regarding each defect, that has been detected, for use in on line mask repair. The paper describes configuration of the system along with the image processing algorithms used. The paper also discusses the results obtained, the specifications and over all performance of the system.
Application of alternating PSM to sub-quarter-micrometer technology using i-line lithography
Hung-Eil Kim, Chang-Nam Ahn, KeunYoung Kim, et al.
Alternating phase shift mask (PSM) is very effective to memory devices which have highly repeated patterns. In order to apply the alternating PSM to a real device, we have investigated the design problems such as proximity effect, phase contradiction, phase transition, and linewidth variation. We also designed various hard defects to check defect printability on a wafer. Using i-line lithography (0.50 NA, 0.46 sigma) with alternating PSM, we obtained a useful DOF of 1.2 micrometer for a bit line of 256 M DRAM. The experimental and simulation results for phase-induced problems and defect printability on wafer are described in detail.
Attenuated phase-shifting mask specification with modified beam illumination
Ichiro Kagami, Minoru Sugawara, Hiroichi Kawahira, et al.
Recently, attenuated phase-shifting masks (att-PSMs) with advanced illumination technology of a modified beam illumination (MBI) become a pioneer to expand applications of i-line and KrF lithography. This technology can enhance a depth of focus even for a dense pattern layout by eliminating an undesirable secondary peak intensity which is found in att-PSMs with a normal illumination. In order to extract appropriate performance of att-PSMs with the MBI, a new systematic evaluation method using exposure-defocus and mask fabrication latitude (EDM) methodology in which all sorts of process parameters can be considered is proposed for setting att-PSM specifications. Mask transmittance and biasing are the parameters that essentially contribute to the optimum att-PSM characteristics. In this paper, first the evaluation flow using EDM methodology is explained in detail. Next, feasible ranges of mask transmittance and bias are discussed. Finally, it is shown that the mask line width uniformity significantly affects lithography performance with the att-PSMs and mask specifications of transmittance and bias.
Defect printability study of attenuated phase-shifting masks for specifying inspection sensitivity
Defect printability of attenuated phase-shifting masks for a dot defect in isolated hole and space patterns is evaluated by EDM (exposure-defocus and mask fabrication latitudes) process windows and process latitude functions which are derived from a series of EDM windows. The allowable defect size is confirmed to be 0.08 (lambda) /NA both for the hole and space patterns with the practical process latitude consideration. By the evaluation of the process latitude functions, it is found that an influence range by the dot defect is extended up to 20 times of the defect size in units on a wafer.
Predicting mask performance by numerical simulation
Qi-De Qian, Giang T. Dao, Pei-yang Yan, et al.
In this paper, we present a method for linking a finite element Maxwell's equation solver with a scalar lithography simulator, iPHOTO-II. The combined simulator takes the mask topography and the stepper parameters as input and simulates the resist profile on the wafer plane. The accuracy of the simulator is demonstrated by comparing simulation results with experimental data over a wide range of focus, exposure and mask dimensions. The simulator is used to predict the performance of a phase edge phase shift mask. It is revealed that the true position of the line center in a phase edge PSM is shifted slightly from the location given by geometric projection. Biasing rules for compensating for this location shift are presented.
Initial evaluation result of DNQ-novolak resist system for advanced e-beam reticle fabrication
Yasunori Yokoya, Hideo Kobayashi, Keishi Asakawa
Advanced e-beam reticle fabrication, including PS and OPC mask, has created a growing need for an alternative resist system with superior contrast, linearity and resolution, dry-etching capability. Some aqueous-based DNQ-novolak resist systems have been proposed, however, their sensitivity has not reached a practical level yet without utilizing active and strong developer chemicals that cause excess resist dissolution in un-exposed area, which should lead to inferiority in contrast, critical dimension and defect quality level. We have investigated a development technique for DNQ-novolak resist systems by looking at resist dissolution rate in unexposed area in order to gain higher sensitivity by increasing dissolution rate but with maintaining superior development uniformity and defect quality level. During the study, specific difficulties were observed over several DNQ-novolak resists, which were 'non- linearity of resist dissolution' that led to less development uniformity and 'local and sporadic anomaly in resist dissolution' that was the seed of eventual peculiar clear defect caused by spin-spray development. The details of our findings on specific difficulties observed with DNQ-novolak resists are described in this paper. An initial evaluation result in very basic features of AZ5200, EBR900-M1, and ZMP300 is also reported.
Comprehensive simulation study of the photomask defects printability
Optical lithography will continue to be a leading patterning technology for 256 Mb and 1 GB DRAM production. As the device size diminishes, all the links of the technological chain must be significantly improved. Photomask technology then becomes one of the critical issues for the semiconductor industry. It is already admitted that only a combination of PSM technology coupled with OPC and state-of-the-art illumination schemes will allow the printing of 0.18 micrometer patterns using optical lithography. It has been shown that new patterning techniques may significantly degenerate mask defect tolerance. This will certainly require a new look at the mask defects detection and classification. A new 'process window' concept proposed by KLA has been applied to the mask defects printability study. Both conventional (chrome) and phase shifted (half-tone) masks have been examined. OPC corrected layouts were studied with a variety of defect types, sizes, and locations. 'Ideal' vs 'real' (corner rounding) reticles were tested. In addition, the impact of substrate reflectivity and photoresist contrast on defect printability has been determined using full scale 3D simulation. We found that implementing the OPC technique may produce new types of defects, and therefore requires a new defect classification.
Chromium-based attenuated embedded phase-shift photomask blanks for use in 1X lithography
Process latitude, especially depth of focus (DOF), is an ever-growing concern to semiconductor and thin film head (TFH) manufacturers. It is well known that as lithographers pursue smaller linewidth resolution through the use of larger numerical apertures (NA) and smaller exposure wavelengths, DOF continues to shrink. TFH manufacturers are faced with the additional burdens of thick resist and high aspect ratios. One successful method of regaining a portion of the lost DOF in i-line reduction lithography is the use of phase shift masks. There are a variety of phase shift mask types that typically involve a conventional chrome mask with an added layer of shifter material adjacent to specific geometries. Another type, without added shifter material, requires a second etch into the quartz substrate. Compared to conventional masks, these mask types require additional patterning and processing steps. Because of their high cost and technical limitations, phase shift masks are frequently used only for contact layers in i-line processes. Attenuated embedded phase shift (AEPS) masks have the potential to improve depth of focus for 1X g/h-line systems. Because the shifter material is incorporated in the substrate, these masks can be patterned and processed similar to conventional chrome masks. Consequently, their cost is much lower than other phase shift mask types and their applications are not limited by dense geometries.
Resist charging in electron-beam lithography
Weidong Liu, Roger Fabian W. Pease
We have directly measured the surface potential of a resist film under a wide variety of e- beam exposure conditions. Here we describe results after extending the range of primary beam energy down to 1 keV; the magnitude of surface potential reaches a maximum at about 1.6 keV on 0.4 micrometer SAL601 resist. We also measured the secondary electron emission coefficient (Cse) of the same sample for the primary beam energy from 1 keV to 20 keV. Below 1 keV Cse is larger than 1 and it decreases with increased beam energy. Above 7 keV Cse becomes a constant about 0.2. Preliminary experimental results for electron beam induced conductivity indicated minimal induced current. Similar experiments were carried out on PBS resist samples and shown the similar results. Together with Monte Carlo simulation of the backscattered electrons and distribution of trapped charges, these results are used to quantitatively explain the charging behavior of the resist.
How to improve MEBES-III Write times by improving your MEBES-III directory management discipline, enabled by high-speed networking software
Greg Booi
At many sites, MEBES disk files are not optimally accessed during MEBES Write because the disk directories are not optimally managed by the MEBES operator. Many people are not aware that this can add minutes or hours to MEBES Write times. This is especially important to photomask shops which are operating their MEBES' at capacity, and to shops where delivery is constrained by turnaround time. The problem is due to disk fragmentation on the MEBES disks, and the unavailability of an easy solution. Disk fragmentation can be controlled by deleting files in a certain way, keeping the MEBES disks nearly empty. This approach requires a fast enough network such that Just-In-Time file transfer does not slow production, and the incentive and discipline to keep the disks nearly empty. ABNETTM data delivery to MEBESNETTM and Write-From-LinkTM has been available for years, hosted by slower Vaxs and SUN Sparc-IIs. It is the advent of faster host computers (Vax 4000 series, SUN SPARC 20, etc.) which has created fast enough network transfer. The incentive to improve throughput is provided by running the MEBES at capacity. The paper describes the mechanism and methods of disk defragmentation, and procedures to automate the discipline.
The Optimum Solution: OPC and Beyond
icon_mobile_dropdown
Fast sparse aerial-image calculation for OPC
Fast sparse aerial image simulation and its use in optical proximity correction (OPC) is the topic of this paper. The primary result is a new lookup table formulation of aerial image calculation for a partially coherent optical system. As a generalization of our previous work for Manhattan geometry, the new technique extends the fast lookup technique to arbitrary polygonal mask geometry. Using the new method, the computation required for a sample point of the image intensity is proportional to the number of polygon edges in the local mask region. Moreover, the method is particularly well suited for perturbations to the mask such as those OPC might produce. Our implementation of the new technique achieves intensity calculation speeds of 6 msec/point and perturbational update speeds of 26 microsecond(s) ec/point on a Sun SPARC 10.
Fast EB-PEC system for 0.25-um device reticle fabrication using a variable shaped beam machine
Manabu Tomita, Hidetoshi Ohnuma, Masaaki Koyama, et al.
An electron-beam (EB) proximity effect correction (PEC) system for mask making has been developed, and is applied for 0.25 micrometer device reticle fabrications with a high accuracy and fast calculation speed. This system consists of three important functions: (1) fast PEC operation, (2) high speed data processing, and (3) correction verification. For the fast PEC, successive over-relaxation (SOR) method is applied for matrix calculation and a two dimensional integral table is used for convolution. In addition to this, a parallel processing method using four engineering workstations (135 MIPS each) has also been introduced. For high accuracy, a delicate pattern data fracturing and outline algorithm is developed. The data processing subsystem has various functions, such as data management, parallel-processing, data compaction, data searching, data clipping, repetitive geometry searching and critical area searching. A data verification and browser subsystem is also constructed utilizing a Sony plot intermediate format (SPIF) data interface, being named SPIF reticle image browser (SRI). In this paper, key technologies supporting each function are presented and the results applied to 0.25 micrometer rule application-specific IC (ASIC). Device reticle also is presented.
Hierarchical proximity correction using CAPROX
The proximity effect in e-beam lithography is well known and many solutions exist to correct it. But none of them are able to cope with the amount of data in today's large scale memories. In a conventional approach, the 64 Mb DRAM would lead to 10 Gigabytes of flat data and weeks of processing time, for example. Recently, Sigma-C achieved a breakthrough in handling USLIs by developing a generic algorithm for many different hierarchical processes. It solves throughput problems for operations like overlap removal (OLR); the e-beam (EPC) and optical proximity correction (OPC) which, at first glance, are inaccessible to hierarchical processing. Hierarchical algorithms take advantage of the growing symmetry of a layout with the number of designed shapes. Even after all processing steps a ULSI device will have hierarchy, not necessarily the same as on input, but yet enough to significantly decrease processing times. Hierarchical processing is a general outline which can be used for many different applications. Most parts of this algorithmic scheme are identical, only one part must be adapted for each application. This paper shows the general outline of hierarchical processing and the solution of the algorithmic steps specific to the hierarchical e-beam proximity correction. Subsequently, the application on a variety of critical layers of the 64 and 256 Mb DRAM is demonstrated using a workstation. Corrected and uncorrected exposures are compared by SEM pictures and line width measurements. The correction not only opens the process window, it turns out to be an enabling technique for critical layers.
Intelligent ground-rule-based inspection of OPC masks
Robert P. Bishop
Optical proximity correction (OPC) is incorporated in a mask to compensate for the resolution limits of the exposure system. Specific design rules and knowledge about the lens (NA), illumination coherence, and other process parameters are used by the mask designer to determine the type and location of each OPC feature. Beltronics describes how our UVscan System can inspect an OPC mask by intelligently applying the same set of design rules, or a derivative thereof, to inspect the mask. Incorrectly placed, and damaged OPC features are detected without the use of a reference image. Deep UV 248 nm optics are incorporated to obtain 0.125 micron resolution.
Simplified rule generation for automated rules-based optical enhancement
Oberdan W. Otto, Joseph G. Garofalo, Richard C. Henderson
This paper demonstrates a new methodology called parametric anchoring in which a small number of representative line-space measurements are used to match a given lithographic process to computational models for a rule-based optical enhancement scheme. The rule generation program, SimRuleTM, which incorporates the models, is used to create the rule lookup tables which are in turn used by the rules-based correction program, OPRXTM. Parametric anchoring is performed before the rule tables are computed, as distinguished from the earlier anchoring approach in which the multi-dimensional rule tables are reshaped to match a number of measured points. Parametric anchoring uses line and space measurements which are familiar to process engineers.
Good OPC, where will this drive mask CD tolerance and mask grid size
At low k1 factors, optical proximity correction (OPC) is used to correct line size such that what is delivered by the lithography process is closer to the design dimension than an uncorrected process would deliver. OPC is usually derived for perfect masks and exposures. Random variation of the mask critical dimension (CD), wafer exposure latitude, and wafer defocus are examined for their effects on an OPC mask. Expected CD variation in the aerial image is given for each of these variables. Examining these variables will also give insight as to how fine an OPC can realistically be obtained, and how fine a grid size is needed in the manufacture of the mask.
Manufacturing and inspection of OPC and PSM masks
With optical lithography prevailing into the year 2000, super-resolution processes pose a multitude of new challenges to the lithographer. Isolated to nested feature bias calls for 'pre- distorting' the photomask to compensate for proximity effects and print and etch biases in the mask and wafer manufacturing process. OPC (optical proximity correction) techniques have become a reality for sub-halfmicron lithography, and have initiated many discussions looking at the manufacturability of OPC masks. Regaining the lost DOF (depth of focus) due to ever shorter printing wavelength, and increasing yields by expanding process latitude have many IC manufacturers looking into PSMs (phase shift masks) as a viable but expensive enhancement technique for several [2-6] layers of the total [18-26] device mask set. This paper addresses manufacturability issues of various combinations of 'enhancement' masks.