Proceedings Volume 2197

Optical/Laser Microlithography VII

cover
Proceedings Volume 2197

Optical/Laser Microlithography VII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 17 May 1994
Contents: 12 Sessions, 90 Papers, 0 Presentations
Conference: SPIE's 1994 Symposium on Microlithography 1994
Volume Number: 2197

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Optimal Illumination
  • PSM Technology
  • Pattern Proximity Correction
  • Lithographic Performance
  • Image Simulation
  • Aerial Image Measurement
  • Application to Devices
  • Manufacturing Issues
  • Innovative Imaging Approaches
  • Advanced Light Sources
  • Step-and-Scan Lithography
  • Tool Subsystems
  • Application to Devices
Optimal Illumination
icon_mobile_dropdown
Overlay and lens distortion in a modified illumination stepper
Chul-Seung Lee, Jeong Soo Kim, Ikboum Hur, et al.
Optical lithography, when extended by phase shift mask technology and modified illumination techniques, is a promising technology for sub-half-micron devices. Modified illumination can improve the resolution limit and depth of focus, but the imaging profile is changed, with pattern type, direction, and density having an effect on the result. The uniformity of the illumination system also differs according to aperture type. Because lens distortion may be affected by the aerial image and structure of illumination optics, we can expect that a modified illumination system may affect lens distortion and overlay accuracy in a real process. A comparison of changes in overlay and lens distortion was done for different illumination conditions. Focus was varied for each combination. As a result, we can observe the variation of overlay error in a modified illumination system relative to the conventional system. To use modified illumination in sub-half-micron processes distortion error must be reduced.
Zone-by-zone optimization of the dummy diffraction mask with auxiliary phase gratings
Yong-Ho Oh, Byung-Sun Park, Hai Bin Chung, et al.
Some solutions are suggested for problems in the application of the dummy diffraction mask with the L/S phases grating. The degradation of the isolated pattern resolution can be successfully improved by the sub-resolution type design and the orientation dependency is attended by the zone by zone optimization technique with reduced gap size (approximately 200 micrometers ) between the main and dummy layers.
Effective light source optimization with the modified beam for depth-of-focus enhancements
Tohru Ogawa, Masaya Uematsu, Toshiyuki Ishimaru, et al.
A new exposure method for the depth of focus enhancements without using the off axis filter has been developed. It makes KrF excimer laser (248 nm) lithography to a robust mass production tool beyond 2nd generation of 64 MDRAM class devices. With this new exposure method, the depth of focus for 0.35 micrometers geometries, which includes the vertical and the oblique direction images, can be enlarged more than 45%. The common depth of focus between the line images and the space images cannot be obtained with the quadrupole and the ring illumination methods for the actual sub-0.30 micrometers rule devices. Even for these devices, over 1.1 micrometers depth of focus can be achieved with this newly developed exposure method.
Optimization of modified illumination for 0.25-um resist patterning
Keiichiro Tounai, Shuichi Hashimoto, Seiichi Shiraki, et al.
In order to achieve wide focus latitude in various 0.25 micrometers patterns, the optimization of optical parameters in KrF excimer laser lithography has been investigated by means of optical intensity simulation. The accuracy of simulated DOF values was confirmed experimentally, using a chemically amplified negative resist and a KrF excimer laser stepper with NA equals 0.5 and sigma equals 0.7. The optical parameters, such as NA, sigma, and annular shield ratio in an annular illumination, were optimized for 1:1 L&S. Our results indicate that the DOF value in the conventional illumination is insufficient even under the optimum condition, but that in the annular illumination it is wide enough. To investigate the DOF value for sparse patterns the minimum contrast value, as well as the optimum optical parameters, was estimated for each defocal position. The optimum parameters set for sparse patterns was very different from that for 1:1 L&S. Moreover, the DOF value of sparse pattern was relatively small compared to that of 1:1 L&S.
Characteristics of standing-wave effect of off-axis illumination depending on two different resist systems and the polarization effect of stepper
Keeho Kim, Woo-Sung Han, Chul Hong Kim, et al.
The off-axis illumination technique either using a quadrupole aperture or diffracting grating was known as a good method to enhance both resolution and depth of focus. Severe variations of critical dimension over topography area were observed in our initial experiments using advanced tilted illumination on mask (ATOM) on our actual device. In this paper, the difference of standing wave effect between ATOM and conventional illumination is analyzed and compared in view of two different resist systems, bleachable and non-bleachable resists, and the polarization affect of the stepper. As a result, bleachable resists show worse standing wave effect in ATOM than in conventional illumination. Non-bleaching resists, however, show no difference in standing wave effect for both ATOM and conventional illumination. This is in good agreement with simulation results. In conclusion, because standing wave effect is not only a function of resist thickness but also a function of bleaching rate, Dill's parameters A, B, and C should be controlled as well as resist thickness especially for off axis illumination.
Quarter-micrometer i-line lithography using an alternating phase-shift mask
Hung-Eil Kim, YoungSik Kim, Chul-Seung Lee, et al.
Phase-shifting mask allows remarkable improvement of the resolution and depth of focus than is possible with conventional mask. In this paper, we examine the optimum coherence factor ((sigma) ) and numerical aperture (NA) by considering the process margins of conventional and alternating shifter L&S patterns on high NA i-line stepper and next we investigate the possibility to apply this optimum parameter in real devices of 0.25 micrometers - 0.35 micrometers design rules. We evaluate the process window, line and space duty ratio, CD difference by proximity effect, illumination uniformity, and neighboring linewidth variation with experimental and simulations including resist profile as well as aerial image. In this experiment, we obtained the DOF of 2.0 micrometers for 0.25 micrometers alternating shifter L&S with an optimum coherence factor on high NA i-line stepper and we can conclude that 256 Mb DRAM with 0.25 micrometers design rule could be printed with large DOF.
Improvement of the focus exposure latitude using optimized illumination and mask design
Rainer Pforr, Kurt G. Ronse, Patrick Jaenen, et al.
The performance of off-axis illumination techniques in comparison to conventional illumination has been investigated for features in the 0.5*((lambda) /NA) range. Conventional masks, chromium masks with assistant features, and halftone phase-shifting masks have been used in combination with these techniques. The analysis includes dense and isolated test features as well as real design features of a random logic IC. Advanced positive tone i-line resists and a 0.48 NA wafer stepper have been applied. Focus and exposure latitudes, linearity, cd proximity effects, and feature deformations caused by the applied techniques are considered. The analysis is done experimentally and theoretically based on cd calculations of developed resist features using Depict-3. The advantages and drawbacks of these techniques are discussed. An ultimate resolution of dense IC features down to 0.30 micrometers for a 0.48 NA i-line wafer stepper is achieved using annular illumination with halftone phase-shifting masks. For 0.5*((lambda) /NA) features practically usable latitudes are demonstrated.
PSM Technology
icon_mobile_dropdown
Optimization of the optical phase shift in attenuated phase-shifting masks and application to quarter-micrometer deep-UV lithography for logics
New developments in the field of embedded shifter materials look very promising for defect free phase shifting mask (PSM) manufacturing. Nevertheless, a good control of the shifter parameters (phase shift and absorber transmittance) remains of utmost importance. In this paper, a lithography sensitivity analysis to shifter deviations has been carried out. It was found that dark field masks require different tolerances than light fields. Because of a growing need for an accurate measurement method of the phase shift, an optical technique, based on measurement of the zero diffraction order of diffraction gratings, is investigated. Using this technique, an attenuated PSM manufacturing process has been optimized for deep-UV, in order to demonstrate the possibilities of quarter micron PSM lithography. As a result, 0.3 micrometers contact windows could be printed with sufficient process latitudes. Using modified illumination techniques in combination with the attenuated PSM, considerable gain in process latitudes was found for quarter micron gates as well, although proximity effects are still a concern.
Optimization of optical properties for single-layer halftone masks
Shinichi Ito, Hiroaki Hazama, Takashi Kamo, et al.
An algorithm necessary to decide the optimum optical properties of a single-layer halftone (HT) mask has been established. This paper reveals the relations between the refractive index n and the extinction coefficient k, and thickness d, and describes how to select optimum films among various materials. It has been found that SiNx is a good material for a single-layer HT mask for I-line (365 nm) and KrF (248 nm). The lithographic performance of an I-line SiNx HT mask for grouped line and space (L&S) patterns under annular illumination has also been demonstrated.
Attenuated phase-shifting mask with a single-layer absorptive shifter of CrO, CrON, MoSiO, and MoSiON film
Attenuated phase-shifting mask with a single-layer absorptive shifter of CrO, CrON, MoSiO or MoSiON films has been developed. The optical parameter of these films can be controlled by the condition of sputtering deposition. These films satisfy the shifter requirements, both the 180-degrees phase shift and the transmittance between 5 and 20% for i-line. MoSiO and MoSiON films also satisfy the requirement for KrF excimer laser light. Conventional mask processes, such as etching, cleaning, defect inspection and defect repair, can be used for the mask fabrication. Defect-free masks for hole layers of 64 M-bit DRAM are obtained. Using this mask, the focus depth of 0.35-micrometers hole is improved from 0.6 micrometers to 1.5 micrometers for i-line lithography. The printing of 0.2-micrometers hole patterns is achieved by the combination of this mask and KrF excimer laser lithography.
Phase-shifter edge effects on attenuated phase-shifting mask image quality
Alfred K. K. Wong, Richard A. Ferguson, Andrew R. Neureuther
Edge effects of space, line, and linespace patterns in attenuated phase-shifting masks are studied using experimentally measured aerial images from the IBM AIMS tool, the scalar and thin mask approximations in SPLAT, and the rigorous electromagnetic simulator TEMPEST. The inadequacy of the thin mask approximation cannot be anticipated from comparisons of in- focus images of isolated line features as the experimentally measured image and the predictions from SPLAT and TEMPEST agree well. However, the scalar and thin mask approximations are not suitable for out of focus image prediction for all pattern types because the presence of the glass edges causes a focus shift of about 0.1 micrometers . Printing small isolated spaces and dense linespace patterns is more robust than isolated lines in the attenuated PSM technology.
Impact of attenuated mask topography on lithographic performance
Richard A. Ferguson, William J. Adair, David S. O'Grady, et al.
Experimental evaluations were used in conjunction with rigorous electromagnetic simulations to evaluate the affect of attenuated phase-shifting mask (PSM) fabrication processes on lithographic performance. Three attenuated PSMs were fabricated including a normal leaky- chrome reticle and two novel approaches: a recessed leaky-chrome reticle for reduction of edge scattering and a single-layer reticle employing a hydrogenated amorphous carbon film. Direct aerial image measurements with the Aerial Image Measurement System (AIMSTM), exposures on an SVGL Micrascan 92 deep-UV stepper, and TEMPEST simulations were used to explore the effects of edge-scattering phenomena for the different mask topographies. For each reticle, the process window at a feature size of 0.25 micrometers was evaluated for four basic feature types: nested lines, isolated lines, isolated spaces, and contact holes. Further evaluation of the sidewall profiles and the image size on the mask are required to address these discrepancies.
Overcoming global topography and improving lithographic performance using a transmittance controlled mask
Woo-Sung Han, Chang-Jin Sohn, Hoyoung Kang, et al.
As device density increases, topography gets more severe and optical proximity effect becomes worse. If light intensity can be controlled for individual patterns, linewidth variation over topography and optical proximity effect can also be minimized. A new method to solve these problems named transmittance controlled mask (TCM) is proposed. TCM is such a mask that thin absorptive films remain on the areas where light attenuation is necessary. In this paper, TCM is prepared and evaluated in view of topography and optical proximity effect improvement as well as process latitude improvement. Greatly improved process latitude was observed over 1.5 micrometers aluminum topography with TCM, while no process latitude was obtained with conventional masks even over 0.9 micrometers topography. Good optical proximity control is also possible with TCM.
Combination of transmission and absorption mask for 0.3-um lithography
Lothar Bauch, Joachim J. Bauer, Monika Boettcher, et al.
High resolution is achieved by a transmission mask. Distortions at the end of structures are eliminated by application of an absorption pattern (chrome). Structures of a line width larger than 0.8 micrometers are produced by the chrome part of the mask, smaller structures by the transmission level. The performance of this kind of mask is demonstrated by SEM micrographs.
Effect of shifter edge angle and lens aberration on the pattern profile in the edge-line phase-shift method
Mitsunori Nakatani, Hiroshi Matsuoka, Hirofumi Nakano, et al.
Origin of asymmetrical resist patterns, which had been observed in the edge-line phase shift lithography, has been investigated by simulations and experiments concerning the affects of shifter edge angle and stepper lens aberration. It has been found that the asymmetry of resist patterns has been caused by coma aberration of stepper projection lens and enhanced as the shifter width becomes narrower. Furthermore, the effect of shifter edge angle has been proved equivalent to the effect of narrowing the shifter width.
Techniques for improving overlay on multilayer phase-shift masks
Henry Chris Hamaker, Michael J. Bohan, Peter D. Buck, et al.
Techniques used to optimize the alignment performance of the CORE-2564PSM reticle writer are presented. In particular, unique procedures for accurately locating alignment marks with nonuniform background intensities are discussed. Site-by-site automatic illumination control is implemented to ensure optimal image intensity and contrast for the CCD-based image capture system. Process and metrology considerations that affect error measurement are discussed. The mean + range/2 aligned overlay of the CORE-2564PSM is shown to be 35 - 55 nm.
Fabrication of phase-shifting masks employing multilayer films
T. C. Chieu, Kwang Kuo Shih, Derek B. Dove
The design and fabrication of phase shifting masks using multi-layer films are summarized. Mask blanks consist of fused silica substrates coated with a top chrome layer, an SiO2 phase layer, and an etch-stop layer. The layer thicknesses are designed to give a (pi) -phase shift at 248 nm (or at 365 nm), and equal and maximal transmission at etched and non-etched regions. The chrome layer is patterned by e-beam resist and is etched by reactive ion etching (RIE) with Cl2 chemistry. The SiO2 layer is patterned by another e-beam resist in registration with first chrome pattern and is etched by RIE with CHF3 chemistry. Two materials were investigated as the etch stop layer, namely Al2O3 and HfO2, with the latter having advantageous properties. It is shown that a 20% processing window is achieved in this type of PSM for a 5 degree phase accuracy as in contrast to quartz etched PSM in which the etched depth and uniformity has to be controlled to within 2.5% for a similar phase accuracy.
Attenuating phase-shift mask by thermal oxidation of chrome
David S. O'Grady, Phil B. Wilber
A novel approach to fabricating an embedded attenuating DUV phase-shift mask by thermally oxidizing chrome is examined. Sputtered films of various chrome oxide composition have been proposed for i-line phase-shift masks, but the films to data have lacked a high enough transmission at the DUV wavelength. Thermally oxidized chrome is shown to produce a transmission usable at the DUV wavelength. The effects of oxidation time and temperature are examined, along with transmission and phase-shift as a function of chrome oxide thickness. While the thermal oxidation of chrome is not fully workable to fabricate a complete mask, it demonstrates the feasibility of using chrome-based materials in fabricating a DUV-embedded attenuating phase-shift mask.
Phase-shift mask issues for 193-nm lithography
As feature sizes below 0.25 micron are pursued deep-UV lithography at 193 nm is being investigated. This paper presents results from investigations into phase-shift mask issues for 193 nm excimer laser lithography. A small field refractive projection system for operation at the 193.3 nm wavelength of a spectrally narrowed ArF excimer laser has been constructed for lithographic research. The small field, 20X system operates with a variable objective lens numerical aperture from 0.30 to 0.60, variable partial coherence, and control over illumination fill. Through the use of attenuated and alternating phase-shifting techniques resolution can be pushed to the 0.2 micron range with depth of focus as large as 2 microns. Sensitivities to shifter deviations and resist interaction increase. Shifter etch influences on fused silica surface characteristics need to be addressed. Transmission affects of attenuating materials becomes increasingly important. Resist imaging and simulation results presented shed some light on the potential of phase-shift masking for 193 nm lithography, along with inherent difficulties.
Estimation of attenuated phase-shifting mask fabrication latitude using an optical exposure-defocus methodology
Minoru Sugawara, Hiroichi Kawahira, Keisuke Tsudaka, et al.
The performance and practical fabrication latitude of attenuated phase shifting masks have been studied using a newly developed exposure-defocus and mask fabrication latitude (EDM) methodology in which the mask linewidth latitude is taken into account as well as the conventional estimation parameters such as the exposure latitude and depth of focus (DOF). Both isolated and dense 0.3 micrometers contact hole (C/H) patterns have been evaluated using an EDM process window which is obtained by the light intensity profiles with the KrF 248 nm exposure, NA equals 0.45 and (sigma) equals 0.3. When the practical process latitude of within +/- 5% of exposure dose and +/- 0.01 micrometers of mask linewidth are supposed, background transmittances of more than 9.00% and phase error controllability within +/- 2 degrees are required for both isolated and dense C/H patterns. The EDM window is steeply shrunk by the enhanced optical proximity effect for the dense C/H pattern at a pitch of less than 0.90 micrometers .
Effects of transparent and transmission reduction reticle defects
Larry S. Zurbrick, Steven J. Schuda, James N. Wiley
Transparent and transmission defects were studied by performing wafer printability studies. An Orion test reticle was fabricated with programmed thin resist artifacts on a conventional binary reticle to simulate transparent defects. The transparent defects on the Orion reticle printed larger than equivalent design size programmed chrome defects. Programmed transmission defects were created on contact geometry by selectively depositing thin layers of chromium over contacts on the reticle. The effect on wafer focus/exposure curves of contact transmission defects was studied.
Experimental study of phase-shifting mask defect detection using phase-shifting interferometry
Yiping Xu, Donald K. Cohen, John M. O'Connor, et al.
A new approach is proposed that uses optical phase shifting interferometry to detect phase shifting mask (PSM) defects. The surface topography is measured directly by determining the phase information from the wavefront reflected or transmitted from the surface of the PSM. The defect size, shape, and location can then be easily determined from the measured 3D surface topography, which provides the necessary information for subsequent defect analysis, repair, or removal. A WYKO high resolution surface profiler that utilizes optical phase shifting interferometry was used to carry out extensive experimental studies on different types of programmed defects. Results show that an optical non-contact surface profiler can be very useful in PSM defect detection. A defect as small as 0.25 micrometers can be easily detected.
Fundamental analysis on fabrication of 256-MB DRAM using phase-shift mask technology
Young-Mog Ham, YoungSik Kim, Ikboum Hur, et al.
This paper describes the phase shift mask (PSM) effects in view of production using i-line lithography. For the PSM technology, it was hard to control process because the process condition was limited by the exposure tool. To fabricate the 256MB DRAM with 0.25 micrometers minimum feature size (MFS), we evaluated the PSM including attenuated type for conventional patterns and a 0.25 micrometers cell array using positive and negative tone phase shift mask for actual process. Furthermore, we applied various approaches to get a sufficient depth of focus (DOF) and high resolution using an i-line system with 0.57 NA, an off-axis illumination system, low partial coherence factor, and process in cases of alternating, subresolution, and attenuated type of phase shift mask. As a result, even if pattern delineation was possible, we should optimize design, topology structure, and process to get enough DOF margin, good uniformity, and high repeatability for device fabrication.
Comparison of phase-shift mask types for submicrometer contact hole definition
Zheng Cui, Brian Martin, Philip D. Prewett, et al.
Rim and attenuated phase shift masks (PSMs) are the most promising candidates for mass ASIC production. Computer simulations have been carried out to compare their merits and limitations. It has been shown that both rim and attenuated PSMs improve the exposure latitude and depth of focus compared with a conventional binary intensity mask. An attenuated PSM demonstrates a higher exposure level and better defocus performance than a rim PSM, especially for sub-half micron contact holes. The comparison is based on a combination of criteria, since it is found that different conclusions may arise from computer simulations based on the analysis of an aerial image, if different evaluation criteria, such as log-intensity slope, image contrast or exposure-defocus tree, are applied independently. Examples illustrate the importance of choice of evaluation criteria. The superior performance of the attenuated PSM over conventional masks is confirmed by i-line experimental lithography.
Exposure of a halftone mask by conventional and off-axis illumination
Hye-Keun Oh, Jung-Woung Goo, Sug-Soon Yim, et al.
The halftone mask, also called the attenuated phase shifting mask, is assumed to be a preferred candidate among many types of masks since it can be applied to all feature types and it is relatively easy to fabricate. We studied the process latitude of the halftone mask with normal illumination and the combination of the halftone mask with off-axis illumination by computer simulation, the fabrication of the halftone mask, and exposure with an i-line stepper. The greatest improvement of process latitude can be achieved for contact hole pattern when the halftone mask is used. The isolated space and the isolated line pattern show minimal gain by the halftone mask or the off-axis illumination, but the line/space pattern can be made by the off-axis illumination. The process latitude can be enlarged by the proper mask bias and the aspect ratio.
Pattern Proximity Correction
icon_mobile_dropdown
Automated optical proximity correction: a rules-based approach
Oberdan W. Otto, Joseph G. Garofalo, K. K. Low, et al.
In this work we demonstrate the power, speed, and effectiveness of an automated rules-based approach for performing optical proximity correction. The approach applies to both conventional and phase-shifting mask layouts for optical lithography. Complex imaging, substrate, and process phenomena can be folded into comparatively few rules parameters. Using simple arithmetic, these parameters pre-compensate the layout for the combined proximity effects. The rules consist of edge rules and corner rules for biasing feature edges and for adding sub-resolution assist features. This paper describes an integrated solution that includes rules parameter generation and fast, hierarchical rules application. Experimental results demonstrate improved edge placements and wider process latitude than for non- corrected layouts.
Fast proximity correction with zone sampling
John P. Stirniman, Michael L. Rieger
Proximity effects cause integrated circuit features to be distorted when compared to the original mask pattern. These effects are becoming widely recognized as serious barriers to achieving effective half-micrometer and smaller wafer lithography processes. A promising remedy for proximity effects is to adjust mask feature shapes to compensate for predictable distortions in the wafer lithography process. We present a general method for computing proximity-corrected shapes. A technique we call `zone sampling' provides accurate models of proximity behaviors with optimum computational efficiency. Proximity behavior for arbitrary layout configurations is derived from 2-D density measurements (zone samples) computed from IC layout pattern data. Zone sampling provides a complete `behavior model' of combined, non-linear proximity effects, including optical, process, and underlying substrate mechanisms.
Automated determination of CAD layout failures through focus: experiment and simulation
This paper describes a software program that compares the simulated aerial image of a mask pattern with the original, desired, mask pattern. The program uses the Fast Aerial Image Model (FAIM) to simulate the aerial image. A specific intensity contour is chosen and the distance from the contour to the desired design is calculated. Regions where the distance exceeds a specified tolerance are deemed failures and flagged. Corner-rounding errors are handled differently to line edge position errors. The threshold intensity used can be specified by the user, alternatively a `critical feature' may be defined and the threshold set to the intensity value required to print it on size. In addition to describing the program, we also show examples of how the aerial image contour predictions compare with simulations of resist profiles and actual printed resist images for the case of a SRAM cell.
Phase-shifting masks: automated design and mask requirements
Yagyensh C. Pati, Yao-Ting Wang, Jen-Wei Liang, et al.
In this paper we present a computationally viable algorithm for the rapid design of phase- shifting masks for arbitrary two-dimensional patterns. Our approach is based on the construction of a class of optimal coherent approximations to partially coherent imaging systems described by the Hopkins model. We show that for partially coherent imaging systems with coherence factor (sigma) <EQ 0.5, the associated approximation error in the image is quite small (< 10%). A fast iterative algorithm is used to generate (suboptimal) phase- shifting masks using the approximate imaging system model. The computational effort required per iteration is O(N log N), where N is the number of discrete image points considered. Analytical results related to practical requirements for phase-shifting masks are also presented. These results address questions related to the number of discrete phase levels required for arbitrary patterns, and provide some insight into alternative phase-shifting strategies. A number of phase-shifting mask design examples are also discussed.
Comparative study on optical proximity effect correction with various types of dummy patterns and its application to DRAM devices
Chang-Jin Sohn, Woo-Sung Han, Hoyoung Kang, et al.
Because lithographic patterns' quality is functions of quality of aerial image, resist behavior, substrate conditions, topography, etc., pattern quality can be significantly improved if all of those factors are improved simultaneously. In this paper we propose a method called fidelity enhancement with extremely small dummy lines (FEED) to correct optical proximity affect by adding dummy patterns well below the stepper's resolution limit, and show how much aerial image quality improvement and process latitude are possible with this method. Various sized dummy lines were deployed in horizontal, vertical, and both directions in conjunction with different numerical aperture (NAs) of i-line steppers for the characterization of the FEED. Dummy lines with sizes ranging from 0.1 micrometers to 0.18 micrometers turned out to be useful for our 64 mega bit DRAM's storage node patterns. FEED showed possibilities to be utilized in any device patterns which inevitably have patterns susceptible to optical proximity effect.
Investigation of proximity effects for a rim phase-shifting mask printed with annular illumination
David M. Newmark, Eric Tomacruz, Sheila Vaidya, et al.
Resolution enhancement techniques have been explored extensively in the last few years in attempts to reliably extend optical lithography to smaller features. Off-axis illumination has shown remarkable success improving the depth of focus for dense lines and spaces. However, the depth of focus for isolated lines is degraded. This paper shows experimental results of 0.22 micrometers lines at varying pitch printed with a rim phase-shifting mask on a GCA DUV stepper with 0.53 NA and annular illumination of 0.6 - 0.7 (sigma) . Although the results demonstrate a depth of focus of greater than 1.0 micrometers , there are severe proximity effects which cause a 60 nm difference between the dimension of dense versus isolated lines. We hypothesize that this proximity effect is caused by three physical phenomena, the aerial image itself, reflections from the silicon substrate, and acid diffusion in the APEX-E (IBM) resist. Simulation results are presented which show that of the 60 nm linewidth difference, 10 nm is due to the image, 10 nm is caused by substrate reflections, and 40 nm is the result of acid diffusion in the resist.
Large-area phase-shift mask design
In previous work, Liu and Zakhor developed an algorithm for automated design of diffraction compensated phase-shift masks (PSM) in isolated small areas. In our current work we focus on devising an algorithm that performs optimization for larger mask areas up to 100 X 100 micrometers 2. We model Manhattan geometry masks using polygons composed of floating objects -- deformable edge segments and corner serifs. The model accommodates binary masks, alternating phase shift masks, and attenuated phase shift masks. With this underlying model, the positions of mask objects are optimized. Because of the simulation intensive nature of the optimization, we need an efficient intensity calculation method. To this end we employ mask function windowing approximation. We also use the fast Fourier transform (FFT) in changing to and from Fourier representations as necessary in the Hopkin's image intensity equations. We demonstrate the effectiveness of our algorithm in improving image intensity characteristics at the focus plane and at defocus for various examples of binary and phase-shift masks.
Correcting for proximity effect widens process latitude
Richard C. Henderson, Oberdan W. Otto
The optical proximity effect can be a substantial fraction of the CD error budget. It is insufficient to determine the proximity effect as the difference between line-width in an equal line/gap pattern with that of an isolated line. Other geometries may have greater proximity induced line-width errors. We present here a comprehensive, four parameter, analytic process to characterize actual proximity. It is shown that when individual, geometry-dependent line bias is applied line-width uniformity can be reduced to the +/- 10 nm range. Using the +/- 10% CD criteria, individual line bias expands the range of exposure dose and depth of focus.
Using behavior modeling for proximity correction
Michael L. Rieger, John P. Stirniman
A proximity correction system supporting zone-sampling behavior modeling (see SPIE 2197- 28, `Fast proximity correction with zone sampling,') is described. The data flow for correcting integrated circuit layout patterns is explained. We outline the steps needed to generate and optimize behavior models, that serve as correction `rules.'
Systematic design of phase-shifting masks
Yao-Ting Wang, Yagyensh C. Pati, Jen-Wei Liang, et al.
In this paper, we present a systematic method for phase-shifting mask design in coherent optical lithography using approaches based on approximation theory, phase-retrieval, and image extrapolation. This method also provides some insight into the design of enhanced- resolution masks for incoherent and partially coherent systems and suggests a possible strategy for pupil filter design. The optical lithography system is divided into three subsystems: (1) a softlimiter, (2) a squarer, and (3) a bandlimiter. Thus, the phase-shifting mask design is approached via three corresponding subproblems: (1) bandlimited approximation, (2) phase- retrieval, and (3) extrapolation. Using this method, we demonstrate the possibility of composing a large and complicated phase-shifting mask by abutting smaller and simpler masks. Simulation results of phase-shifting design examples are provided to illustrate the method and ideas described here.
Lithographic Performance
icon_mobile_dropdown
Comparison of I-line and deep-UV technologies for 0.35-um lithography
Kevin J. Orvek, Joseph J. Ferrari, Sasha K. Dass, et al.
An experimental comparison of i-line lithography and deep-UV lithography was performed for 0.35 micrometers patterning of isolation level, polysilicon level, and the contact level. Both techniques used standard illumination and standard masks. The i-line process used conventional single level DNQ resists. The deep-UV work used a commercially available single level chemically amplified positive resist, with additional use of bottom-layer organic anti-reflective layers on some levels. The results highlighted the problems of pushing i-line lithography to the 0.35 micrometers regime and demonstrated the manufacturable process latitudes available with deep-UV lithography.
Aerial image analysis of quarter-micrometer patterns on a 0.5-NA excimer stepper
Ulrich C. Boettiger, Thomas Fischer, Andreas Grassmann, et al.
Applying a method for direct on stepper measurement of aerial images, the quarter micron performance of an advanced deep UV excimer stepper is analyzed. The aerial images are compared to corresponding simulation results as well as data obtained on an aerial image measurement system (AIMS). The study includes three different mask types: standard chrome on glass, an attenuated and an alternating phase shifting mask. Substrate effects as well as laser spectral purity effects have been measured. In general, the data show that simulation and AIMS data represent an upper limit for the aerial image contrast, which may be degraded significantly under real world imaging conditions. Use of a bottom anti reflective layer and a new laser with improved spectral bandwidth result in optimum aerial images close to those measured on AIMS, but they are still worse than simulation results. Some examples of quarter micron resist profiles and process windows in a deep UV resist are shown that were obtained under these optimized conditions with an excimer laser stepper.
Practical 0.35-um i-line lithography
The push to achieve higher density devices continues to place tremendous demands on optical lithography. Several techniques have been used to achieve 0.35 micrometers feature sizes. This paper presents data on the practical application of numerical aperture (NA) and partial (sigma) for 0.35 micrometers imaging. A number of conventional photoresist systems are characterized at various NA/(sigma) . Important differences in the response of photoresists have been observed. These are quantified with respect to various types of structures. Of particular interest are the affects on dense lines and contact structures. The affect of bias also is quantified by using a special reticle where pitch is held constant and the chrome linewidth is varied to determine optimal process latitude. After examining the imaging performance of a few high-contrast photoresists, the use of normalized image log-slope (NILS) is applied for two stepper conditions. Finally, response curves are generated to show optimal exposure conditions for resolution and depth of focus versus NA/(sigma) performance for a number of different NILS photoresists.
Lithographic performance at sub-300-nm design rules using a high-NA I-line stepper with optimized NA and (sigma) in conjunction with advanced PSM technology
Barton A. Katz, Richard Rogoff, James Foster, et al.
There is growing consensus that 350 nm design rules will be accomplished using i-line lithography. Recent developments in i-line lithography have pushed NA and field size to acceptable levels for 64 MB DRAM manufacturing. Simpler PSM technologies may be used to augment performance in first generation 64 MB DRAM manufacturing. Depending on the topography requirements, it may be necessary to have more process latitude at critical line/space layers. I-line lithography, with conventional binary intensity masks (BIM) should provide adequate process latitude at 400 nm design rules. Incremental improvements in process latitude at feature sizes around this design rule can be obtained using attenuated phase PSM technology. This paper presents data on the implementation of BIM and various PSM technologies in conjunction with a variable NA, variable (sigma) i-line stepper. Optimization of NA and (sigma) have been performed using the various mask technologies to maximize process latitude at features sizes from 450 nm down to below 300 nm. Ultimately, a path is provided to achieve adequate lithographic performance for both first and second generation 64 MB DRAM manufacturing.
Optimizing numerical aperture and partial coherence to reduce proximity effect in deep-UV lithography
Raymond A. Cirelli, Eric L. Raab, Robert L. Kostelak, et al.
The difference in critical dimension between isolated and dense features of the same coded size in a circuit pattern must be minimized to enhance circuit performance. Altering the numerical aperture (NA) and partial coherence ((sigma) ) of an exposure system can help reduce this `proximity effect.' Using a state-of-the-art (variable NA, variable (sigma) ) deep- UV stepper (248 nm exposure wavelength), we exposed silicon wafers under a wide range of lithographic conditions. After measuring the linewidths with a scanning electron microscope and electrical probe, we plotted the linewidth as a function of pitch for various settings of NA and (sigma) . We present these results and compare them to data simulated using SPLAT and Prolith/2 modeling software. We show that partial coherence settings in the 0.6 - 0.74 range give excellent linewidth control for circuit designs with 0.30 micrometers design rules without any proximity correction. We also show that off-axis illumination techniques can worsen the `proximity effect.'
Image Simulation
icon_mobile_dropdown
Aerial image of 3D phase-shifted reticle: 3D fast aerial image model
Eytan Barouch, Uwe Hollerbach, Steven A. Orszag
As is well known, the mask in projection printing is a thin (about 1,am thick) object, composed of numerous variable domains. The various domains contain different complex refractive indices. These refractive indices form a discontinuous "mask function" ,appearing as a coefficient in the Maxwell-Material (MM) equation1 that describes the transmission of the electric field through the 3D mask domain. The right-hand side of this equation involves the gradient of the dot-product of the electric field with the gradient of the log of the refractive index. Within each feature, the refractive index is a constant. Therefore its gradient vanishes, and the resulting local MM equation is the wave equation. However, at a feature boundary (chrome-quartz, phase-i— phase-2, etc.) the complex refractive index is discontinuous, resulting in a highly singular delta-function-like coefficient. The trailing components of the field display the standard Maxwell discontinuity conditions, resulting in two very singular terms, i.e. a derivative of a delta function and a product of two delta functions. Such a source term, with such a remarkable oscillation, will be the origin of extreme instability in the numerical solution of the equations, no matter which direct solution algorithm is employed. Accordingly, the derivation of a preconditioner, namely a function which contains most of the unpleasantness of the equation times a function to be found, is absolutely essential to obtain accurate solutions in a reasonable time. Through an elaborate uniformly asymptotic scheme and scale analysis, we have derived such a preconditioner. The solution of the direct MM equation was compared with the solution using the preconditioner, and it gave us the confidence that we were on the right track. It actually means that one wishes to find the mask's Green function which, when convolved with the electric field incident on the mask, yields the exiting field which then enters the imaging optical system. It is the purpose of this paper to demonstrate the results obtained thus far, as well as to illustrate its implications on defocus linewidth control.
Some image modeling issues for I-line, 5X phase-shifting masks
Gregory L. Wojcik, John Mould Jr., Richard A. Ferguson, et al.
The current image-theoretical basis for phase shifting masks (PSMs) relies on the scalar and Kirchhoff approximations, which neglect vector wave and edge diffraction effects around the mask. In this paper we use EMFlex finite element modeling to quantify vector diffraction effects, and show a method for modeling broadband illumination using the code's transient (optical pulse) capability and the Fourier transform in time. Simulations indicate that: the Kirchhoff approximation applied to etched quartz PSMs can lead to unacceptable errors due to a dark boundary layer on the quartz sidewall; diffraction produces relatively strong vector wave fields near feature edges but their contribution to the lithographic image is negligible; and the paraxial partial coherence approximation is generally valid for 4x or 5x projection systems. We discuss examples illustrating needs for better PSM metrology and phase measurements.
Experimental verification of high-numerical-aperture effects in photoresist
This work describes an experimental setup approximating the output of a 0.85 NA reduction stepper which is used at the limits of its resolution. The experimental method concentrates on verifying the numerical predictions of vector imaging theory. Since this theory is based on a plane-wave decomposition of the vector image field, two-beam and three-beam interference are the simplest forms. Alternating phase masks, attenuated phase masks, and standard masks can be represented by this arrangement. The setup uses a periodic grating mask to obtain diffraction orders, and then substitutes mirrors for the imaging lens which results in the desired beam interference at the image plane. A unique experimental process for obtaining the record of the image distribution is presented which results in decorating the image cross- section for analysis. SEM photographs reveal that beams of high obliquity have drastically different behavior within a photoresist film for S and P polarization for the two-beam case. The addition of a third central beam, with three-beam interference, results in a reduction in the difference between S and P polarized illumination.
Sample-3D benchmarks including high-NA and thin-film effects
John Joseph Helmsen, Michael S. Yeung, Derek Lee, et al.
A method of imaging thin films under high NA conditions is described and implemented. This method is based on the Hopkins' theory. This technique is benchmarked on two examples. Run times and profiles are reported. This method is used to calculate the etch rate of the photoresist and is used as an input to a development simulator for one of the benchmarks. Three methods of simulating photolithographic development are cell removal, ray-trace, and advection. Each solves for the advancing surface as the motion of a contour of an imaginary function under the Hamilton-Jacobi equation. Each method has advantages and limitations in reaching the goal of a fast, accurate and easy to maintain photolithography simulator. A discussion of the algorithms necessary for a ray-trace simulator are also included. Aspects of proper mesh maintenance and implementing correct boundary condition solutions are demonstrated, with the Hamilton-Jacobi formulation used as justification. A new deloop algorithm specially designed for ray-trace advancement is presented. A new triangulation technique, specially designed for surface advancement simulators is outlined.
Evaluation of new attenuating phase-shifting mask techniques
Kevin D. Lucas, Andrzej J. Strojwas, K. K. Low
The creation of defect free and accurately biased phase shifting masks (PSMs) with correctly designed layouts is a difficult task. The behavior of PSMs, with their complicated structures and topographies, is highly sensitive to process parameters. Attenuating PSMs are becoming an important and popular mask technology, because of the manufacturing and layout difficulties associated with other PSM types. They are flexible (being useful for both isolated and repeating structures) and relatively simple to manufacture in comparison with other PSM methods (not requiring extra layers of glass or very small mask openings). In addition, the mask layout is not further complicated by multiple phase openings. However, attenuating PSMs are complicated vertical devices. The height of the attenuating material (usually chrome) and the anisotropically etched, phase shifting glass beneath the opening (See Fig. 1) have significant impact upon imaging performance. The light scattering from the vertical glass walls alters the transmitted light intensity and the defocus behavior. The percentage of light transmitted through the chrome also affects the final image considerably. Questions have also been raised about the repairability of defective masks. Recently, both DuPont Co. and Mitsubishi Corp. have introduced new attenuating PSMs where the absorber material creates the iv radians phase shift in the attenuated light [l][2] (See Fig. 2). For the creation of these new masks, anisotropic etching of the glass mask substrate is not necessary and thus one manufacturing process step is eliminated. The absorber itself is thin, approximately the thickness of the chrome for a binary transmission mask. Therefore, mask edge light scattering is greatly reduced. Mitsubishi has reported the ability to manufacture these masks with satisfactorily high film uniformity, low defect rate and high chemical durability. The successful repair of pinhole and pindot defects in the attenuating material has also been demonstrated[2]. In this work, we have been investigating performance for the standard chrome attenuating PSM and the new DuPont and Mitsubishi attenuating PSMs using the vector 2D photolithography simulator METROPOLE[3]. METROPOLE, which was developed at Carnegie Mellon University, uses the so-called waveguide method [4][5] to solve Maxwell's equations rigorously and model non-vertical light propagation, defocused reduction images, arbitrary material optical properties and light scattering from complex mask topographies. Aerial image profiles on the wafer of isolated lines are evaluated with respect to: the percent of light transmitted through the attenuator, mask opening size, attenuator height, mask bias and defocus behavior. In addition, the transmitted light intensity results were compared to those from the scalar PSM simulator SPLAT[6] to check the correctness of scalar models for performing accurate attenuating PSM biasing calculations. Defective and repaired attenuating PSM were also analyzed for possible performance degradations caused by the repair process. This research differs from previous scalar modeling studies of attenuated PSMs in that a vector simulator can properly include light scattering effects caused by the often substantial vertical structure of the attenuated PSM.
Enhanced lumped parameter model for photolithography
Enhancements to the lumped parameter model for semiconductor optical lithography are introduced. These enhancements allow the lumped parameter to calculate resist sidewall angle as well as resist linewidth in an approximate but extremely fast manner. The model shows the two main contributors to resist slope: development effects due to the time required for the developer to reach the bottom of the photoresist, and absorption effects resulting in a reduced exposure at the bottom of the resist.
Programming of phase-shift mask simulation software and some important aspects
Long Que, Guoliang Sun, Feng Boru
In the paper we report some work on the programming of phase shift mask (PSM) simulation software, some important parameters are analyzed, in the meantime, we set up the mathematical models for studying rounding characteristics of imaging of the bar patterns under the project lithography system with incoherent, partial coherent, coherent illumination, and theoretical results compared to the experimental results.
Polarization and edge effects in photolithographic masks using three-dimensional rigorous simulation
The rigorous electromagnetic simulation program TEMPEST has been extended for analysis of three-dimensional problems, and is applied to study transmission through small contact holes and printability of 360 degree(s) phase quartz bump defects. REsults generated from the rigorous electromagnetic simulator TEMPEST can be fed into the simulator SPLAT for partial coherent imaging with arbitrary lens aberrations. Application of three-dimensional TEMPEST in small contact hole studies shows that transmission loss is about three times as much as the loss in space openings in 1X projection printing, and polarization effects can be more important than the orientation of a rectangular mask. The thin mask and scalar approximations are unacceptable as they predict no polarization effects and peak intensities that can be 2X larger than that predicted from TEMPEST. Also, 360 degree(s) phase quartz bump defects in chromeless phase-shifting masks do not produce intensity drop severe enough to cause undesired printing.
Aerial Image Measurement
icon_mobile_dropdown
New mask evaluation tool: the microlithography simulation microscope aerial image measurement system
Russell A. Budd, Derek B. Dove, John L. Staples, et al.
The Zeiss MSM100 microlithography simulation microscope can evaluate phase shift and conventional photolithographic masks. In this paper we discuss the MSM design, its operation, image capture and analysis methods, and typical applications. The tool's unique optical system captures `through focus' images of a mask for a selected NA, sigma, and wavelength, thus paralleling the characteristics of a particular optical stepper. The MSM operates at i-line (365 nm) and DUV (248 nm) wavelengths, and handles commonly used 5 or 6 inch reticles. The images obtained are optically equivalent to that incident on resist, but are highly magnified so that they may be recorded using a DUV CCD camera. Typically, features of interest are recorded as a through focus series; image intensity is digitized. Application to the assessment of defect printability, both before and after repair, is presented. Masks have been analyzed to predicted CD values which are in good agreement with subsequent resist work. Unconventional illumination schemes have been studied.
Quantitative stepper metrology using the focus monitor test mask
Timothy A. Brunner, Alexander Lee Martin, Ronald M. Martino, et al.
A new lithographic test pattern, the focus monitor, is introduced. Through the use of phase shift techniques, focus errors translate into easily measurable overlay shifts in the printed pattern. Each individual focus monitor pattern can be directly read for the sign and magnitude of the focus error. This paper presents a detailed verification of the validity of this approach, along with several preliminary applications.
Analyzing deep-UV lens aberrations using aerial image and latent image metrologies
Eric L. Raab, Christophe Pierrat, Charles H. Fields, et al.
The technique of direct aerial image metrology (AIM) has been applied to characterize the performance of a microlithographic lens. AIM is potentially faster and more reproducible than measurements obtained by scanning electron microscopy. Direct measurement of the aerial image eliminates both the process variations associated with resist processing as well as the subjective nature of evaluating resist profiles. We have used AIM to evaluate some of the primary aberrations of a 248 nm stepper lens. We compare the results to those obtained with latent image scatterometry, a proven technique for measuring lens performance. We found that AIM, while providing qualitatively good results, contained some slight systematic errors that reduced the accuracy of the data. The sources of error and their remedies are discussed.
Scattered light in photolithographic lenses
Joseph P. Kirk
Scattered light, flare, is present in the images formed by all photolithography lenses and it reduces lithographic process tolerances. It varies from lens to lens and with time, but is easily measured by observation of images of opaque objects formed in positive photoresist. The scattered light halo of a lens is modeled and the model used to estimate the flare for any reticle used with that lens.
Application of the aerial image measurement system (AIMS)TM to the analysis of binary mask imaging and resolution enhancement techniques
Ronald M. Martino, Richard A. Ferguson, Russell A. Budd, et al.
The newly developed Aerial Image Measurement System (AIMSTM*) was used to quantify the lithographic benefits of several resolution enhancement techniques as compared to standard binary mask imaging. This system, a microscope based stepper emulator, permits rapid characterization of mask images from both binary and phase shifted mask (PSM) patterns at multiple focal planes. The resultant images are captured digitally with a CCD camera and analyzed using an exposure-defocus tree technique to quantify the depth-of-focus as a function of exposure latitude. The AIMS is used to extract both phase and transmission errors from captured aerial images of all the masks evaluated. AIMS results are compared to wafer electrical linewidth data. A 0.5 numerical aperture (NA) DUV stepper was used with a partial coherence of 0.6 combined with IBM APEX-E resist process. Collected data were analyzed using techniques identical to the AIMS analysis, allowing for a high level of consistency. Comparative data focused on binary mask imaging for the verification of the AIMS results. Trends associated with feature sizes and types are discussed.
Aerial image measurements on a commercial stepper
Charles H. Fields, William N. Partlo, William G. Oldham
A new method of testing high numerical aperture microlithographic lenses involves measuring the aerial image produced by the lens rather than using developed resist profiles. Direct measurement of the aerial image eliminates any process variations associated with the resist processing and also removes the subjective nature of evaluating resist profiles. The means of characterizing the aerial image is to measure the image intensity from grating patterns positioned at the image plane. Our image monitor used an artifact mask cover with 2-D scanning pinholes placed over a photodetector. This pinhole cover was fabricated in an 800 angstrom thick layer of amorphous silicon. The size of the pinholes is 0.2 micrometers and the pitch of the pinholes is 6.0 micrometers . This system of Aerial Image Measurement (AIM) has been successfully implemented on a 0.53 NA, deep-UV (DUV) microlithography stepper. In this paper we present the results of various direct aerial images such as elbows, contacts and isolated lines and space patterns measured with this technique. These images are produced from conventional chrome DUV masks.
Application to Devices
icon_mobile_dropdown
Application of subresolution phase-shift mask with G-line stepper for sub-half-micrometer gate GaAs circuits
Yih-Cheng Shih, Joseph G. Garofalo
KrF lasers with up to 500 Hz repetition rate and a bandwidth of about 1 pm are in use for DUV-microlithography. Increasing resist sensitivity demands for even higher repetition rate are needed in order to allow precise dose control. In some cases step & scan exposure tools apply reflective optics instead of refractive ones. This diminishes the bandwidth requirements by about two orders of magnitude, but a high polarization degree of >= 98% is a basic requirement for the laser light source. Dose control and statistics define the second basic requirement for the laser. A dose accuracy of less than 2% demands small energy increments, i.e., for pulse energy in the 10 to 20 mJ range. Throughput requires 10 to 20 W of average laser power. Therefore, the repetition rate must be in the 1 kHz range.
Comprehensive evaluation of major phase-shift mask technologies for isolated gate structures in logic designs
Lars W. Liebmann, Thomas H. Newman, Richard A. Ferguson, et al.
This paper presents a comparative analysis of binary `chrome-on-glass,' attenuated, biased rim, and phase edge shifted DUV lithography solutions for advanced circuitry in the sub-250 nm image size regime. Lithography techniques are compared based on design complexity, ground rule impact, process latitude, and cost. Data are presented from aerial image simulations (SPLAT), aerial image measurements (AIMSR), and SEM measurements. Phase edge shifted designs clearly exhibit the largest process window for 200 nm linewidths exposed on a 0.5 NA 248 nm DUV stepper. The complexity of the mask engineering (design as well as manufacture) and exposure process for this `hard' phase shifting technique warrants the study of less powerful but also less restrictive phase shifting options. This paper investigates the tradeoffs associated with various applicable phase shift mask (PSM) techniques and presents recommendations based on specific program requirements.
I-line photoresist evaluations for contact hole performance with attenuated phase-shift reticles
William L. Krisa, Cesar M. Garza Sr., Anthony Yen, et al.
Evaluation of contact holes ranging from 0.35 micrometers to 0.7 micrometers for a number of i-line photoresists and attenuated phase-shift reticles has been completed. The study compared the effects of different photoresists patterned with a binary reticle as well as attenuated phase-shift reticles having transmission levels of 6%, 8%, and 12%. The measures of contact performance used to compare resist/reticles are focus budget, exposure latitude, and resolution. From the data collected, a large process window for sub half-micron contacts is demonstrated by using an optimum resist/reticle combination. With phase-shift, an increase in focus budget is realized with the amount of improvement dependent on the resist and transmission of the reticle. The resolution capability of all of the resists is improved by using phase-shift, although, phase-shift did not affect the linearity of the resists. Data collected points to the importance of optimizing the resist process with transmission level and applying the proper bias to maximize the focus budget.
Process optimization for 0.35-um i-line lithography
Cesar M. Garza Sr., William L. Krisa, Anthony Yen, et al.
Extending i-line lithography to 0.35 micrometers processing is a realistic possibility because of improvements in photoresists, steppers, track equipment, and reticle technology. The manufacturing of 0.35 micrometers devices can include as many as twenty lithography levels, however, most of the critical issues can be addressed in printing the gate and contact levels. The optimized process for 0.35$ mum gates and contacts is presented in this paper. Even with advanced photoresists, enhancement techniques were needed to meet the processing requirements for these two levels on actual topography. The enhancement techniques used for the gate level were a TARC and modified illumination. A TARC was required to improve linewidth uniformity, and modified illumination to improve focus budget and exposure latitude. For contacts, attenuated phase shift was required to achieve workable focus latitude. The data presented shows that an optimized i-line resist processes with enhancement techniques can meet the requirements of volume production of 0.35 micrometers devices.
Analysis of microlithography in an open-architecture TCAD system
The paper offers the results of investigations of forming the submicron topological structures of the `contact window'-type by using only all-dry vacuum and plasmas technologies. Analysis is made of the relationship between lithographic and electric parameters of contact systems with micron-size features. A technological process of manufacturing a three-layer structure `metal-dielectric-metal' by using the LVPL is developed to study electric characteristic of systems with micron and submicron contact windows. Analysis is made of the 0.5 - 1.0 micrometers to 0.5 - 0.3 micrometers . To form masks, use was made of vacuum resist 0.4 to 0.7 micrometers films deposited onto 0.29 micrometers thick silicon oxide layers on a silicon substrate. The resist was subjected to exposure with simultaneous development on a LVPL apparatus. An average of laser radiation power, a pulse repetition rate, a degree of vacuum were maintained constant, while a dose was varied by changing the exposure at E equals 1 J/cm2. Next plasmochemical etching of Al or silicon oxide was performed through a vacuum resist-mask.
Comparison of deep-UV reflection control methods for interconnect layers
Joseph J. Ferrari, Sasha K. Dass
The swing curve, more specifically the reflectance versus resist thickness, has been measured for APEX-E coated on 3 different film stacks for interconnects: Titanium nitride (TiN) capped aluminum, Brewer ARC DC9 coated aluminum, and CD9 coated on the TiN capped aluminum. The exposure and focus latitudes have been measured for each of the above film stacks along with pre-etch and post-etch cross section profiles. It appears that either the TiN or CD9 could be used as an anti-reflective layer (ARL) based on the swing curve alone, however, the TiN capped aluminum degrades the APEX-E profile to such an extent that TiN cannot be used as an effective ARL. Similar data, swing curves and process latitudes, were collected for the contact film stacks of silicon dioxide on aluminum, and CD9 coated silicon dioxide on aluminum. The swing curves showed erratic behavior, which is most likely due to oxide thickness variations across the wafer. Measurements of the across wafer contact size variation were taken to quantify the improvement in critical dimension control that results from the use of an ARL.
Determining metal interconnect imaging capability
Stuart E. Brown, Greg A. Goodwin, Wayne H. Ostrout
This work describes an experimental approach to achieve acceptable manufacturing results for metal interconnect lines through the formulation and optimization of advance i-line photoresist system. The selection of a viable advanced photoresist was preceded by the formulation of several prototypes. The dye loading and PAC (photo-active compound) -to-resin ratio were varied as a means to study the effects of imaging on highly reflective metal. Following the manufacture of the prototypes, the performance characteristics of each prototype were studied. The study documented the photolithographic latitude of each sample via electrical probe analysis. The notching characteristics of the samples were determined via low voltage cross- sectional scanning electron microscope (SEM) analysis. Upon completion the three `best' samples were chosen for further evaluation. The final analysis utilized response surface methodology (RSM) to determine the process window (allowable operating range) of the prototype. This paper addresses both experimental techniques as they apply to developing and optimizing the lithographic performance of the photoresist system. Finally, and most important, this paper documents the main effects that lead to interconnect failure caused by severe reflective notching.
Manufacturing Issues
icon_mobile_dropdown
Wide-field variable NA lens analysis for high-volume 0.5-um manufacturing
Paul W. Ackmann, Stuart E. Brown, Richard D. Edwards
Several techniques were used to evaluate and compare various lenses: optical, SEM, and electrical. In this paper, the emphasis is on `usable' critical dimensions and the effects of the lens on CD control. Lens results were examined at multiple numerical apertures (NAs) and partial coherence settings (PC) using a CD reticle with electrical CD structures over seventeen sites per field. The results were analyzed by electrical methods and a scanning electron microscope (SEM) with CD capability. The influence of external factors was minimized through the use of the same resist/developer batch at all testing locations. We selected electrical and SEM measurement methods for 0.40, 0.45, 0.50 micron structures and compared depth-of-focus, exposure latitude, CD linearity, and isolated vs. dense line/space pairs. Preliminary results show the benefits of electrical testing for increased confidence over the full lens field because of increased sample size. The optical method provided a quick and centered capability and matched electrical results closely. This testing methodology allowed AMD to evaluate lens performance of multiple systems and compare performance equally.
SiOxNy:H, high-performance antireflective layer for current and future optical lithography
Tohru Ogawa, Hiroyuki Nakano, Tetsuo Gocho, et al.
A new high performance anti-reflective layer (ARL) for the i-line, KrF, and even for the ArF excimer laser lithography has been developed. It makes the KrF excimer laser (248 nm) lithography into a robust mass production tool beyond 2nd generation of 64 MDRAM class devices, and simultaneously the ArF excimer laser (193 nm) into a promising candidate for a 1 GDRAM class lithography tool. This new ARL, whose material is a type of hydrogenated silicon oxynitride film (SiOxNy:H), can be applied to both the various high reflective substrates by controlling the deposition conditions and the chemically amplified photoresist without pattern degradation caused by film compositions. On the actual device structures, notching effects by halation are completely reduced with these SiOxNy:H films as an ARL. Moreover, these SiOxNy:H films can be left in the device structure.
0.5-um deep-UV photolithography manufacturing
Diana D. Dunn, Katherine C. Norris, Linda K. Somerville
This paper presents the current status of DUV photolithography manufacturing for 0.5- and sub-0.5-micrometer CMOS devices. For the manufacturing toolset and process described, the throughput and exposure performance progressed from an early development stage of less than 100 wafers per toolset per day, to greater than 300 wafers per toolset per day. This improvement was achieved in less than nine months. The DUV cost of ownership, in comparison to existing 0.5-micrometer i-line technologies, drove high DUV throughput requirements at minimized chemical usage. The increased output of this complex cluster/process was achieved by concentrating on the key detractors: tool reliability, coating defects, overlay and critical dimension rework, and manufacturing efficiency. These four detractors, and the corrective actions, are described in this paper. Work continues to progress in tool reliability, process stability, implementation of automated systems, and manufacturing procedures. In addition, this manufacturable DUV technology is being extended to 0.35- micrometer applications with both the current tool set and higher numerical aperture (NA) step-and-scan exposure tools.
Optimized registration model for 2:1 stepper field matching
Warren W. Flack, Gary E. Flores, Joseph C. Pellegrini, et al.
In this study, a large field 1x stepper was matched to an advanced 5x reduction stepper using a 2:1 field matching scheme. The 1x field is a 44 X 22 mm rectangle that is symmetrically aligned to two 22 X 22 mm 5x reduction fields. Overlay measurements were collected at 33 sites per reduction field (or 66 sites per Ultratech field) and the resulting data was analyzed using a modified grid registration model that fully supports the 2:1 matching geometry. Two complementary optimization techniques were developed, the first of which assumes corrective action only on the 1x stepper. The more sophisticated approach supports corrective action on both the 1x and 5x reduction stepper. Next, both techniques were applied to the measured mix-and-match data with the results suggesting a specific set of corrective action that could be applied to the 1x and 5x reduction steppers. Based on these results, it was found that there is a substantial registration benefit to exerting simultaneous corrections on both stepper types as opposed to controlling each stepper individually.
Minimization of total overlay errors when matching nonconcentric exposure fields
Moshe E. Preil, Terry M. Manchester, Anna Maria Minvielle, et al.
As stepper field sizes increase, integrated circuit manufacturers are faced with a new series of challenges in matching exposure tools. Existing fabrication lines with smaller field steppers must determine how to match new, large field tools to their existing steppers. For optimum efficiency, one would prefer to expose a larger number of die per field on the larger field lenses. From a manufacturing standpoint, it is also necessary to expose some layers of these devices on the smaller field tools, which are limited to a smaller number of die per field. This requires matching non-concentric fields, placing two or more exposure fields of the smaller lenses within a single exposure field of the larger lenses. This paper discusses the potential overlay problems associated with non-concentric matching, and presents our methodology for determining the overlay capability and optimum matching strategy for such a scenario. We present data and simulations showing how overlay is affected by different configurations of these non-concentric fields, and solutions to minimize total overlay errors.
Reticle specific compensations to meet production overlay requirements for 64 MB and beyond
Richard Rogoff, Syi-Sying Hong, Doug Schramm, et al.
A novel technology has been developed whereby a database of reticle specific compensation can be utilized to correct for magnification, rotation, and translational reticle manufacturing errors. Whenever a given reticle is loaded into the stepper, a reticle barcode defining that specific reticle is read. This barcode is associated with a set of reticle specific compensations that are automatically applied during the execution of a production batch. Reticle compensations can be either empirically determined or taken from reticle manufacturing information. Algorithms have been developed which determine reticle specific compensations based on either the manufacturing data or empirically determined data. This data is easily entered into a database allowing any stepper to access reticle compensation information for any reticle. This paper discusses the procedures involved in determining and implementing reticle specific compensations and presents production overlay data indicating overlay performance with and without reticle compensations.
Substrate contamination effects in the processing of chemically amplified DUV photoresists
John L. Sturtevant, Steven J. Holmes, Stephen E. Knight, et al.
The role of the wafer substrate in processing of chemically amplified DUV photoresists has been examined. Various substrates including silicon, oxide, titanium nitride, silicon nitride and metals were investigated with both positive and negative tone DUV resist systems. A `substrate contamination' effect was observed in some cases, which results in distorted photoresist profiles at the substrate/resist interface. This effect is interpreted in terms of neutralization of the photogenerated acid in the resist, and is dependent upon substrate deposition and clean conditions as well as exposure and post-expose bake processing. In addition, organic antireflective films are seen to act as effective barrier layers in some cases.
Interpreting cost of ownership for mix-and-match lithography
Alan L. Levine, Albert S. Bergendahl
Cost of ownership modeling is a critical and emerging tool that provides significant insight into the ways to optimize device manufacturing costs. The development of a model to deal with a particular application, mix-and-match lithography, was performed in order to determine the level of cost savings and the optimum ways to create these savings. The use of sensitivity analysis with cost of ownership allows the user to make accurate trade-offs between technology and cost. The use and interpretation of the model results are described in this paper. Parameters analyzed include several manufacturing considerations -- depreciation, maintenance, engineering and operator labor, floorspace, resist, consumables and reticles. Inherent in this study is the ability to customize this analysis for a particular operating environment. Results demonstrate the clear advantages of a mix-and-match approach for three different operating environments. These case studies also demonstrate various methods to efficiently optimize cost savings strategies.
Manufacturing engineering use of data management to analyze and control stepper performance in existing fabs and on stepper evaluations for new tools
Paul W. Ackmann, Stuart E. Brown, Richard D. Edwards, et al.
This paper describes the program used to improve data collection and analysis methods in a sub-micron manufacturing environment to control photolithography steppers. The program provides any user a standard method for analysis. The benefits of this methodology are a reduction in analysis time, uniform analysis by use of similar algorithms, and the reduction in the use of multiple database programs. The program's outputs include customized reports, focus exposure curves, data tables, and SPC charts. By storing all information, historical data can be accessible in these output forms. This paper briefly explains the medium used to transfer the data from the stepper and metrology systems. Explanation of the use and results of the on-line data analysis program and examples of the program's output are also given. The program was developed to support manufacturing from 0.8 micrometers to 0.35 micrometers i-line production. The authors feel the programs are flexible enough to add more outputs for different technologies.
Application of CVD antireflective-layer process for sub-half-micrometer devices
Hideaki Mito, Yoshiyuki Tani, Yoshimitsu Okuda, et al.
The lithographic performance and process applicability of the anti-reflective-layer (ARL) process using amorphous carbon have been studied in i-line and KrF excimer laser lithography. The ARL thickness of 30 nm was used. With ARL, the reflectivity from the silicon substrate reduced to less than 30%. The reduction of the reflectivity with ARL process was effective not only for the silicon substrate but also for the tungsten silicide substrate and aluminum substrate. The pattern profile and depth of focus in the resist on ARL were almost the same as those without ARL. The ARL process has been successfully applied for the fabrication of 0.35 micrometers CMOS polycide gate fabrication using i-line lithography and 0.35 micrometers DRAM aluminum wiring using excimer laser lithography.
Innovative Imaging Approaches
icon_mobile_dropdown
Near-field nanofabrication with pipette-guided ArF excimer laser
Michael Rudman, Anatoly Shchemelinin, Klony S. Lieberman, et al.
A number of distance control methods have been developed that allow the direct ablation capabilities of the ArF excimer laser to be applied to near-field optical methods of nanopatterning. Feedback systems based on evanescent field detection, capacitance, and lateral atomic force sensing have been incorporated into our near-field optical nanofabrication system. Using these control techniques, structures were directly patterned on a variety of substrates with dimension on the order of 100 nm. These feedback techniques are versatile enough to allow accurate distance control despite severe disturbances to the system caused by high energy excimer laser pulses.
New phase-shifting method for high-resolution microlithography
Motoi Kido, Gabor Szabo, Joseph R. Cavallaro, et al.
This paper reports simulation and experimental details of a novel phase shifting technique based on interferometry. Phase shifting is one of the most promising techniques for future high density DRAM fabrication. Conventional phase shifting-masks, however, are difficult to fabricate as they require regions of different optical thickness. This new phase shifting technique does not require any phase shifting materials on the mask. A special interferometer and a mask that has both transmitting areas and reflective areas accomplish the required phase- shift at the image plane. Phase shifting effects are confirmed using both CCD camera analysis as well as photoresist response. The results of computer simulations of critical resolution and error tolerance for this new method as compared with the conventional phase shifting technique are also presented.
Innovative image formation: coherency controlled imaging
Koichi Matsumoto, Naomasa Shiraishi, Yuichiro Takeuchi, et al.
Two types of innovative imaging systems are studied. One is the system with non-incoherent effective source and the other is the system with non-coherent pupil function. When the optics are configured in such a way that a certain pattern would be illuminated by a group of waves diffracted by the pattern itself or an exactly the same pattern, the effective source can be made no longer incoherent. Then the coherence of the effective source can be a new parameter to improve image quality. The simulation shows that the contrast of L/S pattern imaging under the off-axis illumination, for instance, can be boosted up to 99.5% with this system, while to only 90.6% with conventional optics. A new pupil filter is proposed; the area of which is divided into some annular zones to be made mutually incoherent. Then the phase difference becomes less crucial and large DOF can be achieved. The simulation shows that this filter is effective for the exposure of contact hole patterns.
Quarter-micrometer lithography system with oblique illumination and pupil filter
Seiji Orii, Tetsuya Sekino, Masakatsu Ohta
Oblique illumination, one of the super-resolution techniques, is very attractive because of its simple structure as well as its high effectiveness for super-resolution. This technique, however, has some defects such as dependence of pattern size, nonuniformity among line patterns and the resolution limit that it has naturally. In this work, we theoretically analyze partially coherent imaging and verify the usefulness of pupil filtering for overcoming those defects. Pupil filtering can demonstrate the potential of the oblique illumination technique. In particular, dipole illumination combined with pupil filter, which has two different transmittance parts, works effectively, which extends DOF for both fine and large patterns. Resolution limit of oblique illumination is also modified. Several calculations and experimental results, using an i-line stepper with this combined technique, are shown and a potential of pupil filtering in various super-resolution techniques is discussed.
Multiple-exposure interferometric lithography
Saleem H. Zaidi, Steven R. J. Brueck
Interferometric lithography provides a simple, inexpensive technique for the fabrication of large areas of extreme sub-micrometers structures. Using a 364 nm Ar-ion laser source, gratings with periods to 0.2 micrometers and CDs as small as 30 nm are reported. Multiple exposure interferometric lithography provides the all important extension to 2-D structures. Importantly, pairwise exposures maintain the effectively infinite depth-of-field while still allowing complex structures. Mix and match with conventional optical lithography provides additional flexibility. An interdigitated structure suitable for high-speed photodetectors and conductive particle sensors is an example.
Small-field projection imaging system for deep-UV development
Richard F. Hollman, David J. Elliott
This paper describes the design, operation, and performance of a small-field, step-and-repeat deep-UV projection exposure system for photoresist evaluation and advanced IC process research. Description of the basic sub-systems is given, including the 10X mirror-based projection optics, focus and dose control systems, and the control system user interface that facilitates photoresist characterization experiments. Imaging and characterization results are presented on promising 193 nm photoresist materials. Finally, future work on new resists and on a newly designed, high NA catadioptric lens (patent pending) for sub-quarter micron imaging are described.
Optimizing distortion for a large-field submicrometer lens
A large field i-line lens has been developed for submicron IC manufacturing. This lens has a field size of 22 mm X 44 mm (total area of 9.68 cm2), which is the largest field size of any current submicron microlithographic lens. A primary consideration in the development of this lens is its overall distortion, which must be small enough to provide total overlay consistent with submicron design rules. This paper presents distortion characteristics of the Ultratech Stepper Model 2244i lens. Models that can be used to predict the lens distortion and result in minimizing absolute distortion have been developed. The technique used to minimize the lens distortion of the 2244i, along with the effectiveness and the application, also is described. We review the utility of this process for matching new lenses to a customer's existing lenses, and we show how this process supports the mix-and-match of this lens's large field size with the smaller fields of reduction lenses.
1:1 catadioptric deep-UV optics with a full-circle available field
Yudong Zhang
Several kinds of 1:1 catadioptric optical systems with a circle field and an obscuration aperture are described in this paper. Their available working field is a full circle which is at least twice as large as that of the previous 1:1 catadioptric optical systems.
Advanced Light Sources
icon_mobile_dropdown
Recent advances of a KrF excimer laser on a plant's practical requirements
Yukio Kobayashi, Takanobu Ishihara, Hiroaki Nakarai, et al.
In this paper we describe the performance of the newest model of line-narrowed KrF excimer laser KLES-G6 (1995 model) developed in factory on the practical requirements. The KLES- G6 exhibits: (1) spectral bandwidth < 0.8 pm; (2) wavelength stability < +/- 0.1 pm; (3) pulse-to-pulse energy stability < 1.8% ((sigma) ); (4) output power equals 6 W at 600 Hz; (5) gas life > 100 million pulses or 7 days; (6) window cleaning or exchange > 1 billion pulses; (7) laser chamber exchange > 2 billion pulses; (8) mean time between failures > 1500 hours; (9) running cost per a billion pulses is about 29 thousand dollars. These advanced performances will save the running cost and guarantee the high uptime ratio needed to satisfy the plant's practical requirements.
High-repetition-rate lasers for advanced DUV exposure tools
Ulrich Rebhan, Rainer Paetzel, Hermann Buecher, et al.
KrF lasers with up to 500 Hz repetition rate and a bandwidth of about 1 pm are in use for DUV-microlithography. Increasing resist sensitivity demands even higher repetition rate in order to allow precise dose control. In some cases step & scan exposure tools apply reflective optics instead of refractive ones. This diminishes the bandwidth requirements by about two orders of magnitude, but a high polarization degree of >= 98% is a basic requirement for the laser light source. Dose control and statistics define the second basic requirement for the laser. A dose accuracy of less than 2% demands small energy increments, i.e., for pulse energy in the 10 to 20 mJ range. Throughput requires 10 to 20 W of average laser power. Therefore, the repetition rate must be in the 1 kHz range.
High-spectral-brightness operation of narrow-linewidth KrF laser for microlithography
Alexander N. Novoselov, Boris A. Konstantinov, Victor G. Nikiforov, et al.
First time the possibility to use unstable telescopic resonator with Fabry-Perot etalon as a selective spectral element in excimer KrF laser to reduce the beam divergence and narrow linewidth of laser radiation is demonstrated. The efficiency of the new resonator was demonstrated on serial laser 9J114-'94, and radiation with the following parameters was received — output energy 20 mJ, spectrum width 15 pm, energy divergence less or equal O.18x0.30 mrad. The spectral brightness of the laser radiation was increased more than for order of value in comparison with the use of a conventional selective flat resonator, and achieved 2.5 * io J/cm2 * sr * nm. Further improvement of laser characteristics due to optimization of proposed optical resonator scheme parameters is possible.
Step-and-Scan Lithography
icon_mobile_dropdown
Micrascan II overlay error analysis
David J. Cronin, Gregg M. Gallatin
This paper presents a method for analyzing overlay data to provide an estimate of the contributions of the various subsystems to the 3 (sigma) budget. This information can be used to evaluate the performance of these subsystems and to provide insight into problem areas. The method was initially developed using empirical statistical modeling and later verified theoretically. The empirical analysis was performed using the overlay analysis code developed initially at Perkin Elmer and then at SVG Lithography. The results of the code can also be used to predict the overlay performance improvements that can be attained through system corrections or subsystem improvements. The method has been used to evaluate the performance of a number of Micrascan tools using acceptance test data and simulated product data. Results for a typical tool are presented and acceptable tolerances for system corrections are derived.
Deep-UV photolithography cluster performance
As minimum feature size has decreased, and design rules have tightened, successful deep UV 0.35 micron technology has required a photolithography cluster. Linkage of the lithography exposure tool with the critical apply and develop process is driven by the need to improve cycle time, minimize defects, and optimize image tolerances. This paper summarizes results from Micrascan II photo clusters, and discusses the directions in which photo clusters must continue to evolve in order to satisfy the needs for 0.35 micron, and succeeding generations, of imaging technology.
Simulations on step-and-scan optical lithography
Joerg Bischoff, Wolfgang Henke, Jan van der Werf, et al.
Step and scan projection printers overcome the most stringent restriction of step and repeat cameras: the trade off between the resolving power of the lithographic lens and its image field. In a scanning projection printer the reticle and wafer both have to be moved with a constant velocity, keeping their speed ratio equal to the reduction of the lens. The length of the circuit is now reticle limited. An additional extension consists in stitching several lanes together in order to lengthen the dimension perpendicular to the scan direction. However, lens aberrations, mechanical vibrations as well as synchronization errors of the stages, deteriorate the image transfer. The aim of this article is the classification and treatment of these scan- induced deteriorations. So-called contrast transfer curves are calculated offering the possibility of gaining quantitative values of the disturbance amplitudes for permissible contrast drops. Additionally, exposure-defocus (ED) trees are constructed as an evaluation criterion of the scan-induced image degradation.
Progression of overlay performance on a 0.5-NA broadband DUV wafer exposure system
Joseph C. Vigil, Gerald B. Elder, David J. Cronin, et al.
The MICRASCAN-II (MS-II) is a 0.50 NA DUV broadband illumination (245 nm to 252 nm) step-and-scan exposure system manufactured by Silicon Valley Group Lithography Systems, Inc. (SVGL) of Wilton, Connecticut. The system is designed to provide 350 nm resolution and 90 nm overlay in a semiconductor manufacturing environment. Overlay system improvements and performance testing have been made on pre-production and production versions of the MS-II. The MICRASCAN system has both a laser illuminated `through-the-lens' (TTL) and a broadband illuminated `off-axis alignment system' (OAAS). This paper summarizes the progression of system overlay improvements and the results of the tests conducted. Results from initial baseline tests pre and post system improvements on artifact wafers are presented. Product level data collected from marathon testing showing system performance on six individual product levels and areas for improvement are presented. Descriptions of an improved TTL alignment system and its attributes are provided. A description of a new and completely independent alignment system (OAAS) and its attributes are discussed.
Tool Subsystems
icon_mobile_dropdown
Focusing and leveling based on wafer surface profile detection with interferometry for optical lithography
Masahiro Watanabe, Yoshitada Oshida, Yasuhiko Nakayama, et al.
A new concept of shot-by-shot leveling for high resolution stepper systems, profile-based- leveling, is presented. This detects the wafer surface profile using laser interferometry. From the detected profile, this system determines where on the LSI chip to focus, and controls the wafer stage for focusing and leveling. With an experimental setup, a profile detection repeatability of +/- 0.02 micrometers , a tilt measurement repeatability of +/- 0.24 (mu) rad and a tilt measurement linearity of +/- 1.4 (mu) rad were obtained.
Stepper stability improvement by a perfect self-calibration system
Shinji Kuniyoshi, Susumu Komoriya, Koohei Sekiguchi, et al.
This paper describes a new method of self-calibration for use in step-and-repeat projection aligners. In order to obtain a higher alignment accuracy, it is important to decrease all kinds of stepper fluctuations. In conventional aligners an automatic calibration system, that uses a special reticle for calibration, was proposed. However, the new method uses the usual reticles which have four special small marks on the surrounding region of the device pattern area. Furthermore, only a small detector embedded in the portion of the wafer stage is required for this self-calibration. Therefore, this new system will be implemented easily in any kind of stepper and it can calibrate anytime before exposure. Moreover, the stepper's offsets, such as alignment, focusing, chip rotation and magnification, are all calibrated at the same time. Based on the results, the improvement of the stepper stability is verified in production use level. Moreover, the use of this system for stepper control can also improve stepper through-put.
Focusing and leveling system using position-sensitive detectors for the wafer steppers
Dohoon Kim, Won-Ick Jang, Boo-Yeon Choi, et al.
An optical focus and leveling system for ETRI KrF excimer laser stepper is developed using position sensitive detectors (PSD) and optical magnification method. This type of detection method showed focusing and leveling accuracies of about +/- 0.1 micrometers and +/- 1.0 arcsec (+/- 0.5 X 10-5 rad) respectively. Also, we confirmed experimentally the autofocus system has +/- 0.15 micrometers signal stability within the controlled temperature range of +/- 0.1 degree(s)C. In this paper, we report the design concepts of the focusing and leveling system and the characteristics of the system parameter applied to ETRI KrF excimer laser stepper.
Application to Devices
icon_mobile_dropdown
Metal layer resist process optimization by design of experiment
Gwo-Yuh Shiau, Daniel Hao-Tien Lee, Hwang-Kuen Lin
The lithography processes for the metal layers of stacked DRAM have normally been considered as one of the most important steps to determine the chip yield performance. The severe topography step-height on the metal resist processes normally leads to an insufficient UDOF for production. The Taguchi design of experiment (DOE) method is chosen in this study to optimize the resist processes on metal layers with a 1.0 micrometers topography step. The resist process parameters are arranged into the orthogonal arrays and to experimentally determine the optimized conditions for resist patterned over the severe topography step-height with 1.2 micrometers pitches. The important factors controlling the process window are reported in the paper. An increase of 4 dB in S/N response, which corresponds to an increase of 0.4 micrometers in DOF and 6% in exposure window, is achieved by using the design of the experiment. Furthermore, the control factors to determine the optimized process conditions for thick resist processes on metal topography wafers can be quite different from those for thin resist processes on bare silicone wafers.