Proceedings Volume 1604

11th Annual BACUS Symposium on Photomask Technology

Kevin C. McGinnis
cover
Proceedings Volume 1604

11th Annual BACUS Symposium on Photomask Technology

Kevin C. McGinnis
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 1 January 1992
Contents: 8 Sessions, 26 Papers, 0 Presentations
Conference: 11th Annual BACUS Symposium on Photomask Technology 1991
Volume Number: 1604

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Data Management
  • Lithography
  • Cleaning and Pelliclization
  • Inspection and Repair
  • Metrology
  • Phase-Shift Masks
  • Quality Management
  • Phase-Shift Masks
  • Cleaning and Pelliclization
  • Keynote Address
Data Management
icon_mobile_dropdown
Automated critical dimension and registration communication
Paul DePesa, Todd E. Pegelow
Communication and verification of information has historically been an important and significant part of mask order entry. Although SEMI defined and adopted a specification for a mask order entry data base, it has met numerous practical obstacles and has not yet been widely accepted or implemented. Concise and clear communication of information between designer and mask vendor, between departments within the mask vendor's organization and ultimately to the wafer fab continues to be an error-prone, paper-based system. The project undertaken here addresses, through software tools, the specification and communication of "specific points of interest". These points may be, but are not limited to, critical dimension marks, registration marks, specific geometries or areas in question. Data markers may be added into the binary data file at any point in the process from design to mask data preparation to mask manufacturing and inspection to wafer fab. Several marker types are available and may be defined and utilized at the users' discretion. Each marker may have an associated comment and may be instantly called up and displayed graphically. Once these markers are defined and stored they are then communicated through the binary data file or job deck file. A designer may place markers indicating critical dimensions to be measured, along with their intended data and mask dimensions, store them into the design library and communicate them to the mask vendor through that library. The defined markers are passed from design data base into E-beam or optical lithography format as the data is fractured. Markers specifying critical dimensions may be automatically verified by the software or manually by the operator. Similarly, these critical dimension or registration markers may be used to generate run control files for automated CD and/or registration measurement equipment.
Lithography
icon_mobile_dropdown
Positional errors due to substrate charging in e-beam lithography tools
Maris A. Sturans, Jacek G. Smolinski, Jeffrey A. Robinson
As computer logic and memory device dimensions shrink to submicron range the mask lithography tool placement accuracy becomes more critical. Charging effects in e-beam tools considered irrelevant in the past, today represent a large portion of the total error budget. Two such effects on glass substrates are described in this paper. The first is brought about by incomplete Cr coating of the edges, while the second is due to resist charging. It is shown that conductive coating of the edges is necessary if the exposed patterns fall closer than approximately 25 mm from the edge. Thin resist coatings less than 1.0 um can be tolerated when combined with Au mesh shielding techniques and application of electrostatic fields near the target. This gives the low energy secondary electrons a "harmless" path, reducing the charge accumulation on the resist surface.
Pattern generator specification for phase-shift 5X reticles
Jacques Trotel
Phase shift masks are an opportunity for optical microlithography to face the challenge of submicron devices manufacturing for the next decade. The aim of the paper is to derive from the basic principles of phase shift mask operation, the tolerances requested from phase shift reticles in terms of minimum linewidth, critical dimensions (CD), overlay, writing grid. And to compare these tolerances to specifications of a particular type of Electron Beam Pattern Generator. The impact of CD variation and overlay of the 5X reticle on the Fourier Transform of the pattern are assessed semi quantitatively for simple significative patterns in order to give guidelines for pattern generator specifications.
Improved performance of a CORE-2100 through a joint development program
Brett Schafman, Claudia H. Geller, C. Edward Franks
New semiconductor device technologies demand ever tighter critical dimension (CD) control in mask and reticle production. With this in mind ATEQ and Micro Mask began a joint development program that led to changes in calibration and operating parameters, and several hardware replacements on the CORE-2100. This effort led to improvements in two technology modules key to the CORE-2564 product: the rotating polygonal mirror and the steering mirror servo loop. Analysis techniques used to identify error contributors include both linewidth and placement metrology. Both CORE-2100 and CORE-2500 product generations benefit from these improvements, especially in the area of isolated and packed pitch feature uniformity.
Reflective masks for 1X deep ultraviolet lithography
Robert L. Hsieh, Julienne Yu-Hey Lee, Nadim I. Maluf, et al.
Recent work has demonstrated the high resolution optical performance possible with simple IX mostly-reflective optics: using 248nm light from a mercury arc lamp, 0.25pm features were delineated across a 2mm radius semicircular field, and much larger fields are possible with a scaled up version.[1] The mask required for this system consists of a quartz substrate, a patterned thin film reflector and a non-reflective backing which also serves to protect the reflector film. The mask is reflective at the quartz/reflector interface so the substrate is part of the projection optical path and so acts as the pellicle. We have investigated chromium, silicon and aluminum for the reflector material; their reflection coefficients at 248nm at the quartz- reflector interface are 30, 55, and 90 per cent respectively. Silicon has been chosen because it has a practical combination of reflectivity and ease of deposition and etching. Moreover films as thin as 30?m provide the full (bulk-value) reflection and so precise etching is further facilitated. Among possible absorber materials, novolak photoresist is a practical choice having a quartz/film reflectivity of 1%. Features down to 0.25?m are regularly patterned for these masks with a MEBES I using Shipley SAL-601 or PMMA electron beam resist.
Advanced e-beam lithography system in producing high-quality reticle for 64M-DRAM
Katsuhiro Kawasaki, Kazumitsu Nakamura, Takashi Matsuzaka, et al.
Reticle specifications (line width accuracy, pattern stitching accuracy, overlay accuracy) required for 64M-DRAM are 0.05 for individual items. It is very hard for current Electron Beam Lithography systems (EBL) to produce high quality reticles and keep through-put the same as the current EBL. In order to satisfy 64M-DRAM application the HL-700M has thoroughly been evaluated and modified to meet 0.05 ?m specification. A highly accurate electron beam correction program and a bordering exposure program were developed in order to improve line width accuracy. A rigid stage chamber construction and temperature control units have been developed in order to improve stitching and overlay accuracy. Line width accuracy (0.05 ?m) was confirmed. Stitching accuracy (0. 05 ?m) and overlay accuracy (0. 06 ?m) were obtained, which is 2 times the accuracy of the current HL-700M. The advanced HL-700M is under development for improvements.
Comparison of reflective mask technologies for soft x-ray projection lithography
Donald M. Tennant, John E. Bjorkholm, Ludwig Eichner, et al.
We have investigated and compared a variety of technologies for patterning reflective masks for use with X=13 nm soft x-ray illumination. These patterning methods include: absorbing layers deposited on top of multilayer reflectors; reflective coating removal by reactive ion etching; and ion damage of multilayer regions to form a planar mask structure. Large area samples were prepared by each method and reflectivity measurements made to estimate the expected reflectance contrast for mask features. The reflectivity data were compared with simulation for the absorber overlayer and the etched multilayer measurements and found to be in good agreement. Our measured results indicate that reflectivity changes ranging from 5 to over 300 were effected by the various techniques. Fine patterning tests showed that mask features as fine as 0.1 pm can be achieved with each technology. We have also obtained resist images using several different types of x-ray reflection masks. The developed resist images of 0.1 pm lines and spaces obtained using reflection masks in a 20:1 Schwarzschild projection camera compared favorably with transmission mask results obtained using the same optical system.
Cleaning and Pelliclization
icon_mobile_dropdown
Printability of pellicle defects in DUV 0.5-um lithography
An image simulation program was developed and the corresponding experiment was performed to study the printability of particle on pellicle or pellicle defect for a DUV (X = 248 nm) stepper exposure. As an application of the program, we calculated the printability of pellicle defects for pellicles on both sides of the mask as a function of light source wavelength, numerical aperture, pellicle stand off distance, partial coherence, mask pattern feature size, defocus, etc. The results showed that at 248 nm wavelength exposure the change of aerial image at the wafer plane induced by the chrome side pellicle defect was larger than that of g-line (X=436 nm) or Mine exposure (X=365 nm). Simulation for a given pellicle defect size, placed at different side of mask indicated that glass side defect gave a larger change on the aerial image in terms of loss of image energy, and smaller change in terms of decrease in modulation transfer function (MTF) than that of chrome side. Experimental results performed on our DUV stepper substantiated the simulation results.
New look at incoming pellicle inspection
Robert W. Murphy, James A. Reynolds
One of the most difficult steps in the photomask process is that of cleaning and pelliclizing a mask or reticle. This must be done in a way that guarantees zero particles in the pellicle volume at the time of pelliclization as well as throughout the life of the reticle. With the advent of 16 and 64 Megabit DRAM processing, the elimination of these particles becomes more important and more difficult. Considerable effort has been expended to perfect cleaning and inspection equipment used to clean the reticle before pelliclization and inspect it afterwards. The incoming pellicle, however, can be an equally large source of defects which can cause a reticle to fail, either in outgoing inspection or after many months of use. This paper deals with several aspects of incoming pellicle inspection. The inspection equipment and techniques used at Apex are described. Defect types are defined with photographs and drawings. The specification gap between the needs of the mask users and the capabilities of pellicle suppliers is discussed. Finally inspection yield data is presented with Pareto analysis showing the beneficial effect of incoming pellicle inspection.
Inspection and Repair
icon_mobile_dropdown
Alternative to die-to-database mask inspection
Qualification of a reticle is a very important step for a mask shop. For defect inspection, automatic die to die inspection can detect the random defects and the die to database inspection system can detect the missing patterns as well as the pattern placement of the reticle. When die size becomes larger, and the field size of the stepper lens is limited,it is a common practice that only one die is placed on a reticle.
Next generation laser-based mask repair tool
John M. O'Connor, Zbigniew M. Drozdowicz, James K. Tison
The laser-based mask repair system has progressed from an attractive high-return investment to a critical production tool necessary for manufacturing defect-free reticles. In fact, since the early 1980s Quantronix' DRS I and DRS II laser repair systems have become the industry standards for the production of defect-free reticles. As the semiconductor industry moves into the 1990's, a new generation of photomasks, both conventional and phase-shift types with smaller critical dimensions and multiple layers, requires that more information be encoded onto the masks. Increased mask production cost and tighter defect tolerances have created the critical need for new high sensitivity pattern inspection and defect repair equipment for chrome and phase-shift masks. We are actively investigating new and improved technologies to meet the future repair demands of the maskmaking community, including methods and devices to improve chrome repair, to modify phase-shift materials and to increase overall system utility and throughput. The strengths of laser mask repair systems are well known, although misconceptions may exist about the limitation of the laser technique, especially concerning repair accuracy which is currently specified in the DRS II as ±0.15 um (2 sigma). Recent experimental results in our laboratory indicate that a laser micromachining technique should be able to achieve an edge accuracy for the repair of opaque defects on feature edges of ±0.05 um (3 sigma). This capability will satisfy the edge defect specifications for future generations of 5x optical reticles which will be used for manufacture of 64M and beyond DRAM chips. In this paper the laser processing results for chrome and candidate phase-shift materials will be discussed; the discussion will begin with some background information on Quantronix' activities with lasers and laser repair systems.
Reticle defect sizing and printability
Brian J. Grenon, Karen D. Badger, Michael J. Trybendis
As reticle defect specifications become more stringent and maximum allowable defect sizes approach the resolution of current defect inspection systems, the mask fabricator1s ability to accurately determine the size of these defects becomes limited. We have evaluated several techniques by which the size of reticle defects can be measured and have found significant discrepancies between techniques. Using a standard defect inspection system, we measured defects at or below 1.0 ^m and found that the results varied with each technique. Defects were sized using both reflected and transmitted light and the results were compared to SEM measurements. The reflected-light measurements best correlated with the SEM measurements, which are considered more accurate. The results of this study indicated that current sizing techniques are inaccurate. A printability evaluation was also performed using a mask with accurately sized defects. Defect printability results were evaluated in terms of their effect on linewidth.
Zero-bias PBS process for 5X reticles
Regine G. Tarascon-Auriol, Christophe Pierrat, Michael W. Stohl, et al.
A set of experiments to identify a zero-bias PBS1 process for 5X reticles is described. Parameters such as electron-beam dose, developer strength, baking mode and development mode have been optimized for unbiased PBS reticles. Line edge quality and defect density data will be presented. No rough edges were detected when reticles were run on KLA 208 type machine with one pixel sensitivity (0.36 pm minimum defect size). Plate quality was characterized by Scanning Electron Microscopy (SEM), optical Critical Dimension (CD) and line-edge roughness measurement techniques using Leica Laser Measurement System (LMS 2000) and Etec MEBESIII. It appears that the proposed benefits of biased processes over unbiased ones, namely smoother edge quality and lower defect density, have been overcome by the improvements in the processes, the quality of the raw materials and cleanroom facilities and practices. Statistical data on these parameters will be presented.
Metrology
icon_mobile_dropdown
Two-dimensional photomask standards calibration
Wolfgang Haessler-Grohne, Hans-Helmut Paul
In a joint project with LEICA LMW, the PTB has developed a standard comparator based on the LMS 2000 mask measuring machine. The properties of the machine and the objects (mask standards) were investigated. Means for error corrections were derived and the traceability to the unit of length is achieved. 7 inch photomask standards consisting of two dimensional arrays of crossed lines are calibrated with respect to x/y locations, length, straigthness orthogonality. The positions of each cross is certified with an uncertainty better than 50 nm x 50 nm. Thus, for the first time a calibrated two dimensional mask standard with appropriate uncertainty has become available.
Phase-Shift Masks
icon_mobile_dropdown
Phase-shifting mask design tool
David M. Newmark, Andrew R. Neureuther
A Computer Aided Design tool is being developed to automatically produce design graphs that will facilitate the layout of phase-shift masks. This tool is a design interface which utilizes SPLAT1 for the aerial image simulations. The program accepts a user specified geometry and the mask parameter space to be explored. It then automatically extracts information such as intensity, contrast, space width, line width, and intensity slope from the SPLAT results for each iteration. In this paper, we apply the design system to a number of phase-shift mask patterns to explore design rule tradeoffs. For dark field masks with isolated spaces and Levenson arrays of spaces, we determine that a shrink of the mask combined with a bloat of open areas shows promise as a means of designing phase-shift masks if one is willing to sacrifice distance between light areas. By examining several typical mask patterns, we also show that an overall shrink of the mask does not require special design rules for each type of feature, but better scaling could result by appropriately developing new rules for different patterns. Since printing clear field masks is also important, we further probe the scalability of phase transitions. We show that a phase transition of 0.6 A/NA is the optimum length to achieve the highest intensity throughout the phase transition region. For 90 degree transitions, a length of 0.6 A/NA is needed while for 60-120 degree transitions, each step transition region must be at least 0.6 A/NA long. Finally, we present a new mask pattern for chains of contacts that has high intensity slope for a pitch of 1.2 A/NA and below.
Issues associated with the commercialization of phase-shift masks
John L. Nistler, Greg P. Hughes, Andrew J. Muray, et al.
Issues associated with the commercialization of phase shift masks are discussed. Design layouts incorporating multiphase transitions and voting are presented along with methods of mask fabrication. Issues associated with mask inspection and repair are discussed, along with data on actual reticles produced using the prescribed method of manufacture. Cost of reticles in relation to potential wafer processing gains are compared along with problems associated with the increased complexity of the mask making process.
Optimization of real phase-mask performance
Classical phase mask lithography designs can be understood by the application of Fourier optics to the phase mask pattern. For maximum resolution, the mask design for a circularly symmetric contact hole will have a Fourier pattern with most of the energy near the edge of the system aperture. The inverse Fourier transform of an ideal annular Fourier plane pattern is the Bessel function, Jo. This function consists of a central lobe and an infinite number of rings, with each ring having equal energy and alternating phase shifts. This function is a solution to the wave equation in cylindrical coordinates. A characteristic of this solution is that the field has the same transverse profile, independent of the position along the axis. Therefore, the diameter of the central lobe of an ideal Bessel beam will have infinite depth of focus. Although approximations to such diffraction free beams have been reported for coherent light, the depth of focus depends on the number of outer lobes of the Bessel function that can be produced. Practical mask design and imperfect coherence limit the number of lobes that are actually useful. However, a coarse approximation to the Bessel beam can be created with only one or two phase shifted lobes. This is what is attempted in the optimized "outrigger" patterns. The ideal design, however, is one in which one phase- edge is placed at the zero of the Jo function, and a second is placed so that the power in the outer lobe approximates that of the Bessel function. Masks were fabricated with such designs, and the wafer exposures show that the image is significantly brighter than with other techniques, and the depth of focus is also significantly increased.
Evaluation of practical properties of a spin-on-glass for a shifter of phase-shift masks
Spin-on-glasses(SOGs) are excellent candidates for a shifter of phase shift masks. Four kinds of commercially available SOGs have been evaluated for use in i-line exposure. Each SOG had a high optical transmittance, and an adequate refractive index (ca. 1.44). However, only one of them, referred to as SOG: A hereafter, had enough durability against immersion in sulfuric acid for cleaning. The film cured at 200°C showed the above durability whereas that cured at 450°C did not The cause of the degradation was speculated from the FT-IR analysis. SOG:A also had (i) a low tensile stress( less than 5x108dyn/cm2 ) in its film, (ii) enough durability against scrubbing for cleaning, and (iii) good pattern profiles when fabricated by a dry-etching process. But, it had the two shortcomings; (i) poor durability against irradiation (at 200kJ/cm2) with i-line light, although this statement is based on the results of our preliminary experiment, (ii) poor step-coverage when its film was spin-coated over chrome patterns (because the thickness variation up to 20-30nm within a shifter pattern was observed). Implication of these shortcomings is discussed.
Quality Management
icon_mobile_dropdown
Focusing on continuous improvement
Ord Elliott
I expect that many of you have wrestled with the question of where to concentrate your improvement efforts, what areas to focus on? Continuous improvement and total quality are taking on broader meaning in the 90’s to include nearly every facet of organization performance. In the 80’s total quality was typically defined as conformance to high performance standards or specifications. In the 90’s high performance standards are necessary to compete but don’t necessarily provide sufficient competitive advantage. Today maintaining the competitive edge also requires increased speed of response, enhanced levels of innovation, and break through improvements.
Organizational dynamics and the pursuit of total quality
Dennis M. Bradley
A dramatically increased level of competition precipitated by the emerging global market has fueled many an organization's feverish commitment to producing a more competitive product and service. The intention behind this paper is to encourage attention to the organizational means by which the technical ends, the products and services, can be improved. A basic assumption underlying what is proposed is that in order to produce that better tool, something must be done differently within the producing organization. Fundamentally, it becomes a matter of translating new thought, (the concepts, principles, and practices of continuous process improvement) into action, at the social as well as the technical level. There must be a change in the pattern of cross-functional relationships and activities by which technical wizardry is transformed into product. A specific semiconductor industry example is provided to illustrate the success of one group in orchestrating such a social process transformation to dramatically improve their performance in software test and release.
Economic survival in the '90s
James W. Giffin
A financially successful mask operation must be able to economically integrate both volume and leading edge product into the production line. A computer model that approximates the total cost of ownership of various tools has been developed which can provide necessary insight to make sound business decisions. Costs associated with various ebeam and laser exposure tools are presented. Such site specific items as local taxes, financing options, labor rates, floor space, utilities, insurance, throughput and uptime are input variables. The model was developed for use with Microsoft's EXCEL on PC based systems and Apple's Macintosh.
Phase-Shift Masks
icon_mobile_dropdown
Continuous-slope phase-shift transition
Anton K. Pfau, Edward W. Scheckler, David M. Newmark, et al.
The general application of phase-shift mask technology often requires special features accommodating a non printing transition from 0° to 180°. Transitions featuring a continuous slope exhibit reduced linewidth variation and smaller intensity dips as compared to step transitions employing intermediate phase-shift levels. In this paper we report on a method for forming continuous slope phase- shift transitions using ion milling. A slope was created as a result of a locally variable etch time caused by changing the tilt angle of the mask plate during the etching. Thus, steady progress of the resist shadow along the etched region by controlled adjustment of the tilt angle defined the transition profile. The experimental results were reproduced by simulation including the effects of source rotation.
Specifying phase-shift mask image quality parameters
The mask contribution to overall lithography error budgets historically has been specified to very tight levels. Phase shift masks (PSMs) present new conditions affecting wafer image quality. In this paper we will examine the new effects of PSM dimensional variations on key wafer image parameters such as critical dimension tolerance and registration. An important distinction among alternative phase shift mask strategies is different dependency levels of wafer image quality to imperfections in phase shift mask structures. Test patterns representing several types of PSM types, Alternating, Rim, Sub-resolution, Edge, and Chromeless have been fabricated with the CORE-2564PSM and wafers have been exposed from them. We will present PSM-to-wafer sensitivity results for these PSM strategies, and will propose error budget modelling factors for PSM specification.
Cleaning and Pelliclization
icon_mobile_dropdown
Discriminating submicron lithography process variations with a white light confocal scanning optical microscope
Frank S. Menagh, Guoqing Xiao, Mircea V. Dusa
Proximity effects of a 0.80 micron litho process are investigated with an aim to quantitatively evaluate them over typical litho Focus-Exposure Process variations. Process changes considered here are: (1) + /- 1.0 micron focus window, centered at stepper "best" focus; (2) + /- 7.5% exposure window, centered at the nominal exposure energy to print equal 0.80 micron L/S in a 1.16 micron thick resist. The one-dimensional proximity effect-"linewidth" variations are characterized with a Real-Time White Light Confocal Scanning Optical Microscope (RSOM) because of its excellent depth and transverse resolution. The surface width of the resist was determined to be most indicative of the complex interaction between Focus-Exposure and Proximity effects upon resist profile and its subsequent width and so this surface was chosen as the site for the relative effect determination. For measurements, geometrical patterns with high sensitivity to the F-E-Proximity interaction were selected. These patterns follow SEMI defined standard test structures for consistent Litho-Metrology Process evaluation and Metrology Instruments testing. The experimental data demonstrate the RSOM's high capability to discriminate resist pattern top surface proximity effects in the 0.025 to 0.050 micron range caused by process variations.
Keynote Address
icon_mobile_dropdown
Evolution of the photomask industry
A. Travis White
First, I want to thank Scott Landstrom and Kevin McGinnis for inviting me to speak at this eleventh (11th) Annual Symposium of BACUS. Even BACUS has evolved over the past decade, from a handful of mask shops in the Bay Area, to a worldwide symposium of mask making, associated specialty and semiconductor companies. Addressing this group and many friends is an honour and has to be more fun than addressing financial analysts in today’s market.