Airborne chemical contamination of a chemically amplified resist
Author(s):
Scott A. MacDonald;
Nicholas J. Clecak;
H. Russell Wendt;
C. Grant Willson;
Clinton D. Snyder;
C. J. Knors;
N. B. Deyoe;
John G. Maltabes;
James R. Morrow;
Anne E. McGuire;
Steven J. Holmes
Show Abstract
We have found that the performance of the t-BOC/onium salt resist system is severely degraded by vapor from organic bases. This effect is very pronounced and can be observed when the coated wafers stand for 15 minutes in air containing as little as 15 parts per billion (ppb) of an organic base. The observed effect, caused by this chemical contamination, depends on the tone of the resist system. For negative tone systems the UV exposure dose, required to obtain the correct linewidth, increases. While for the positive tone system, one observes the generation of a skin at the resist-air interface. Both effects are caused by the photogenerated acid being neutralized by the airborne organic base. There are a wide variety of commonly used materials which can liberate trace amounts of volatile amines and degrade resist performance. For example, fresh paint on a laboratory wall can exhibit this detrimental effect. These effects can be minimized by storing and processing the resist coated wafers in air that has passed through a specially designed, high efficiency carbon filter. The implementation of localized air filtration, to bathe the resist in chemically pure air, enabled this resist system to operate in a manufacturing environment at a rate of 100 wafers/hour.
Preliminary lithographic characteristics of an all-organic chemically amplified resist formulation for single-layer deep-UV lithography
Author(s):
Omkaram Nalamasu;
Elsa Reichmanis;
May Cheng;
Victor Pol;
Janet M. Kometani;
Francis M. Houlihan;
Thomas X. Neenan;
M. P. Bohrer;
David A. Mixon;
Larry F. Thompson;
Clifford H. Takemoto
Show Abstract
When used in conjunction with a nitrobenzylester photoacid generator, poly(t-butoxycarbonyloxy-styrene-sulfone) deep-UV resist films exhibit high contrast, good resolution and linewidth stability. Use of overcoat materials dramatically reduce the surface inhibition problems, improve the latent image stability (time delay) and enhance the sensitivity by isolating the resist surface from environmental contaminants that react with the photogenerated acid. The photospeed of the all organic CAMP formulation is lower compared to the arsenate based system but can be improved by using more aggressive PEB conditions. Coded, 0.35 micrometers l/s pairs could be resolved in 1 micrometers thick resist films at a dose of 20-30 mJ/cm2. The exposure latitude is approximately equals 25% for 0.5 micrometers features, upon exposure with a GCA prototype deep-UV exposure tool with a NA equals 0.35 and 5x reduction optics. This paper will discuss the resolution, depth-of-focus, exposure latitude and processing characteristics obtained during the evaluation of this chemically amplified resist.
Onium salt structure/property relationships in poly(4-tert-butyloxycarbonyloxystyrene) deep-UV resists
Author(s):
George Schwartzkopf;
Nagla N. Niazy;
Siddhartha Das;
Geetha Surendran;
John B. Covington
Show Abstract
A series of sulfonium and iodonium salts was synthesized and the effect of onium slat structure on UV absorbance, thermal stability, and solubility in propylene glycol methyl ether acetate (PGMEA) was assessed. Several of these onium salts gave usable deep UV photoresists when combined with poly(4-tert-butyloxy-carbonloxystyrene). The lithographic sensitivity and latent image stability of these photoresists were strongly influenced by the structure of the incorporated onium salt.
Dissolution inhibition mechanism of ANR photoresists: crosslinking vs. -OH site consumption
Author(s):
James W. Thackeray;
George W. Orsula;
Martha M. Rajaratnam;
Roger F. Sinta;
Daniel J.C. Herr;
Edward K. Pavelchek
Show Abstract
This paper reports our recent studies of crosslinking of phenolic resins with melamines through 1H and 13C NMR, GPC, and dissolution rate changes. For the NMR studies, we used model phenolic compounds such as 4-ethylphenol, and the hexafunctional crosslinker, hexamethoxymethylmelamine (HMMM). The NMR clearly reveals that the crosslinking reaction occurs quantitatively at the hydroxyl site of the phenol. This result raises the question of whether the dissolution inhibition observed in the ANR resists is due to -OH site consumption or to the rapid rise in molecular weight of the phenolic polymer. Comparison of tetrahydrofuran (THF) extraction vs. aqueous tetramethylammonium hydroxide (TMAH) development shows that the dose required to insolubilize the resist is much higher for THF. Gel permeation chromatography on the soluble fraction extracted into THF showed a fraction with molecular weights up to 400,000 Daltons. We believe that crosslinking and -OH site protection provide synergistic dissolution selectivity in TMAH, leading to high contrast and high resolution. Finally, we present results on the effect of (chi) , which is proportional to the ratio of phenolic hydroxyl groups to melamine methoxy groups, on the lithographic performance of ANR photoresists. At low (chi) , the DUV resists can be used as increased absorption resists over topography, and development times can be shortened significantly. We have also found that increasing the melamine loading can lessen the degree of bridging residue observed between lines.
Chemically amplified negative-tone photoresist for sub-half-micron device and mask fabrication
Author(s):
Will Conley;
Robert Dundatscheck;
Jeffrey D. Gelorme;
John Horvat;
Ronald M. Martino;
Elizabeth Murphy;
Anne Petrosky;
Gary T. Spinillo;
Kevin J. Stewart;
Robert Wilbarg;
Robert L. Wood
Show Abstract
In this paper we discuss a new alkaline soluble negative acting photoresist which incorporates a phenolic based resin, urea/formaldehyde prepolymer as a crosslinking agent and an organic acid-generating sensitizer. This system, dubbed 'EBX' (Electron Beam/X-ray) resist has demonstrated excellent lithographic properties in various exposure modes. Discussion will center on imaging characteristics in the deep and mid ultraviolet using Micrascan I and I-line (365 nm) steppers; electron-beam imaging with MEBES 10 kV mask maker and IBM's EL-4 50 kV electron beam exposure system; and XRAY imaging with point source soft x-ray and synchotron hard x-ray lithography.
New aqueous base-developable negative-tone photoresist based on furans
Author(s):
James T. Fahey;
Jean M. J. Frechet
Show Abstract
A new versatile negative-tone resist consisting of poly [4- hydroxystyrene-co-4-(3-furyl-3-hydroxypropyl)styrene], and a photoacid generator is described. This chemically amplified resist which shows high sensitivity in the deep-UV (2.3 mJ/cm2) and E-Beam (3.4 (mu) C/cm2) modes, operates on the basis of radiation induced crosslinking via acid-catalyzed electrophilic aromatic substitution. Due to the incorporation of phenolic substituents in the resist design aqueous development without swelling is possible.
Novel acid-hardening positive photoresist technology
Author(s):
Karen A. Graziano;
Stephen D. Thompson;
Mark R. Winkle
Show Abstract
A newly developed positive photoresist technology which produces a crosslinked image is described. This resist has demonstrated high sensitivity and resolution for e-beam and X-ray applications. The resist uses conventional novolak polymers and melamine crosslinking agents with thermal acid generators to achieve acid-catalyzed crosslinking in the unexposed areas of the resist. An amine base is photochemically generated in the exposed areas which inhibits the crosslinking reaction. The exposed area remains soluble in conventional aqueous base developers. Chemistry of the thermal acid generators as well as examples of the photobase generators is discussed. Lithographic results focus on e-beam and X-ray synchrotron applications in which the crosslinked positive image has high contrast and high resolution.
Single-component chemically amplified resist materials for electron-beam and x-ray lithography
Author(s):
Anthony E. Novembre;
Woon Wai Tai;
Janet M. Kometani;
James E. Hanson;
Omkaram Nalamasu;
Gary N. Taylor;
Elsa Reichmanis;
Larry F. Thompson
Show Abstract
Copolymers of 4-tert-butoxycarbonyloxystyrene (TBS) and sulfur dioxide (SO2) have been found to act as sensitive x-ray ((lambda) equals 14 angstrom) and moderately sensitive electron-beam, single component, chemically amplified, aqueous base soluble positive acting resists. The x-ray and electron-beam response of these materials was a function of copolymer composition, where an increase in the sulfur dioxide content enhanced the resist sensitivity. Initial investigation into the radiation induced reaction mechanism provided evidence that acid formation occurs via polymer main chain scission. It is proposed that at the scission sites radical species are produced which in turn are responsible for the formation of the acidic moieties. Heat treatment of resist films after exposure converted the copolymers to poly(4- hydroxystyrene sulfone) and permitted the exposed film areas to be developed in an aqueous base solution. Preliminary lithographic evaluation has resolved 0.5 micrometers line and space patterns in 0.65 micrometers thick 1.75/1 TBS/SO2 resist films using an x-ray dose of 10 mJ/cm2. For a resist having a composition of 2.1/1 TBS/SO2, 0.25 micrometers line and space features where delineated using an electron-beam dose of 90 (mu) C/cm2 at 30 KV. In addition, minimal surface residue of the exposed areas of the resist film after development was observed when the time interval between the exposure and the post-exposure baking steps was varied from 2-10 minutes.
Structural effects of DNQ-PAC backbone on resist lithographic properties
Author(s):
Kazuya Uenishi;
Yasumasa Kawabe;
Tadayoshi Kokubo;
Sydney G. Slater;
Andrew J. Blakeney
Show Abstract
Model backbones without hydroxyl groups and fully esterified diazonaphthoquinone PACs were studied to identify critical structural parameters for dissolution inhibition in conventional diazonaphthoquinone/novolac photoresist systems. Hydrophobicity, presence of a site interactive with novolac, and proximaity of DNQ groups were identified as critical parameters. In general, the inhibiting ability of model compounds or PACs were found to be correlated with their retention time on reverse phase HPLC, a measure of hydrophobicity. Evidence is shown there to support the suggestion that the DNQ group provides a strong hydrogen bonding site to enhance efficiency of inhibition. PACs having DNQ groups in close proximity had lower inhibition than PACs with DNQs far apart.
Exposure dose optimization for a positive resist containing polyfunctional photoactive compound
Author(s):
Peter Trefonas;
Chris A. Mack
Show Abstract
Methods for optimizing the photolithographic process with respect to exposure dose are described. Various latent image gradients (the photoactive compound gradient, the dissolution rate gradient, and the log dissolution rate gradient) at the mask edge in the resist film are compared as a function of exposure dose. The relationship between the sequential photochemical decomposition of poly-diazonaphthoquinone photoactive compound, dissolution models and the dissolution selectivity parameter n is discussed. A methodology using full scale simulation to explore the effect of exposure sizing dose upon resist profile sidewall angle, exposure latitude, focus range, and linewidth variation with resist thickness (swing ratio) is described and compared to simpler models based upon the use of latent image gradients. Finally, the correspondence between simulation and experiment is explored.
Novolak design for high-resolution positive photoresists (IV): tandem-type novolak resin for high-performance positive photoresists
Author(s):
Makoto Hanabata;
F. Oi;
Akihiro Furuta
Show Abstract
A new type of novolak resins is proposed for high performance positive photoresists. This novolak resin has a molecular weight distribution different from the existing materials and is characterized by its low content of middle molecular weight components. We call this novolak resin 'Tandem type novolak resin'. The positive photoresists that contain Tandem type novolak resins exhibit improved performance in resolution, sensitivity, and heat resistance which are usually balanced in trade-off relationships. The characteristics, syntheses, and advantages of Tandem type novolak resins are described. The mechanism of resist performance improvement will also be discussed.
Studies of dissolution inhibition mechanism of DNQ-novolak resist (II): effect of extended ortho-ortho bond in novolak
Author(s):
Kenji Honda;
Bernard T. Beauchemin;
Edward A. Fitzgerald;
Alfred T. Jeffries;
Sobhy P. Tadros;
Andrew J. Blakeney;
Rodney J. Hurditch;
Shiro Tan;
Shinji Sakaguchi
Show Abstract
A p-cresol trimer sequence was incorporated into a polymeric chain of novolak by copolymerization with m-cresol of a reactive precursor which was prepared by attaching two units of m-cresol to the terminal ortho positions of p-cresol trimer. The resulting novolak was characterized by 13C NMR and FTIR in an attempt to correlate novolak structure with dissolution inhibition function based on physicochemical analysis of molecular interactions between novolak and DNQ-PAC in solid films.
Novolak resin design concept for high-resolution positive resists
Author(s):
Tsutomu Noguchi;
Hidemi Tomita
Show Abstract
A new novolak-type photoresist which is applicable to excimer laser lithography has been developed. This resist consists of a naphthoquinonediazide-4-sulfonyl ester(NQD-4) and branched novolak resins, which are synthesized in an excess formalin/m-cresol molar ratio (1approximately equals 2) condition. The branched novolak resin-NQD-4 pendent resist (BNP resist) has about two times higher sensitivity than a conventional novolak resist (PR-1024MB) and exhibits clearly a surface development induction, which affords higher (gamma) -values. Copolymerization of hydroquinone (HQ) improves the sensitivity, resist profile, and mask linearity of the BNP resist. The BNP-HQ resist has a resolution capability of 0.35 micrometers lines and spaces with a KrF excimer laser sensitivity of about 170 mJ/cm2. Therefore, our design concept of novolak resin is applicable to high resolution positive resists and especially to excimer resists.
Novel novolak resins using substituted phenols for high-performance positive photoresist
Author(s):
Toru Kajita;
Toshiyuki Ota;
Hiroaki Nemoto;
Yoshiji Yumoto;
Takao Miura
Show Abstract
The present paper describes the effects of methyl groups, substituted on aromatic rings of novolak resins, on positive photoresist characteristics. And some aspects of the dissolution mechanism of the novolak-quinonediazide resist system are also elucidated. We have found that the use of m-methyl substituted phenols, especially 3,5-dimethyl substituted phenols, is effective to increase the ratio of intra-/inter- molecular hydrogen bonds, and the ratio is controllable by selecting phenolic monomer composition. Our study has also revealed that there is an optimum range of the ratio for the resist performance, mainly depending on the PAC (Photo Active Compound)'s structure and the resin itself. In order to understand this phenomenon, we carried out several experiments in respect of the dissolution behavior of some selected novolak-inhibitor systems and found that the interaction between o-o units of the novolak resin and the NQD moiety in the PAC structure plays a important role on an alkaline dissolution mechanism. The resists adopting these novel novolak resins with the optimum hydrogen bond ratio show resolution of 0.34 micrometers L/S with excellent pattern profile on an i-line stepper (NA equals 0.50) and good thermal stability.
Progress in DUV resins
Author(s):
Klaus Juergen Przybilla;
Heinz Roeschert;
Walter Spiess;
Charlotte Eckes;
Subhankar Chatterjee;
Dinesh N. Khanna;
Georg Pawlowski;
Ralph R. Dammel
Show Abstract
Starting from general arguments on the relation of polymer structure, transparency at 248 nm, resin hydrophilicity and resist dissolution characteristics, binder systems for novel DUV resists are presented, and the results of their lithographic evaluation are discussed. Phenolic polymers studied include homo- and copolymers of 2-, 3-, and 4- hydroxystyrenes and of their alkyl substituted derivatives for three- component systems, as well as 2- and 4-hydroxyphenylmethacrylates for use in two-component t-BOC-type resists. As an alternative nonphenolic resin, the performance of a maleimide/styrene copolymer in a two- component system is discussed.
Dissolution of poly(p-hydroxystyrene): molecular weight effects
Author(s):
Treva Long;
Ferdinand Rodriguez
Show Abstract
In the present study, dissolution rates of PPHS films were measured in aqueous base solvents and in 4-methyl-2-pentanone (MIBK) using laser interferometry. Molecular weights from 6,000 to 100,000 were employed. The films (0.5 to 2 micrometers thick) were spun on silicon wafers from MIBK solutions and baked 1 hour at 160 degree(s)C. The most striking feature of dissolution behavior is the peculiar way in which rate varies with molecular weight. Below a molecular weight of about 20,000, the rate decreases with molecular weight as one might expect. Above 30,000, the rate in aqueous base is much higher and seems to be almost independent of molecular weight. The behavior in MIBK, while more conventional, shows some of the same features. Another feature found with PPHS that is not usual with other polymers is a decrease in dissolution rate with increased thickness. Conventional wisdom would predict that retained solvents (after baking) in thick films would accelerate dissolution compared to thin films. Moreover, the interferograms for thick films show no pronounced change in dissolution rate as the film dissolves. Baking conditions and the pH of the aqueous base developer also affect the dissolution behavior.
Evaluation of poly(p-trimethylsilylstyrene and p-pentamethyldisilylstyrene sulfone)s as high-resolution electron-beam resists
Author(s):
Antoni S. Gozdz;
Hiroshi Ono;
Seiki Ito;
John A. Shelburne;
Minoru Matsuda
Show Abstract
Soluble 1:1 alternating copolymers of p-trimethylsilylstyrene (1) and p- pentamethyldisilylstyrene (2) with sulfur dioxide have been synthesized by free-radical copolymerization at T < -50 degree(s)C. Both copolymers had very high molecular weights and exhibited good film-forming properties. Their thermal stability in nitrogen (5% wt loss) was ca. 210-230 degree(s)C. The etch rate under O2 RIE conditions (15 mTorr O2, -400 V) was 3.4 and 2.5 nm/min, and their electron beam sensitivity was 3 and 6 (mu) C/cm2 at 20 and 50 kV, respectively, using a 40/60 v/v toluene/2-propanol solution as the developer. 200-nm- pitch gratings for advanced optoelectronic devices were fabricated in various planarizing materials and InP using poly(1 sulfone) (P1S) as a top imaging layer.
Bilayer resist system utilizing alkali-developable organosilicon positive photoresist
Author(s):
Kazuo Nate;
Akiko Mizushima;
Hisashi Sugiyama
Show Abstract
A bi-layer resist system utilizing an alkali-developable organosilicon positive photoresist (OSPR) has been developed. The composite prepared from an alkali-soluble organosilicon polymer, poly(p- hydroxybenzylsilsesquioxane) and naphthoquinone diazide becomes a alkali-developable positive photoresist which is sensitive to UV (i line - g line) region, and exhibited high oxygen reactive ion etching (O2 RIE) resistance. The sensitivity and the resolution of OSPR are almost the same as those of conventional novolac-based positive photoresists. The bi-layer resist system utilizing OSPR as the top imaging layer gave fine patterns of underlayers with high aspect ratio easily.
Polysilanes for microlithography
Author(s):
Gregory M. Wallraff;
Robert D. Miller;
Nicholas J. Clecak;
M. Baier
Show Abstract
We have recently reported the formation of scum-free micron images in a bilayer composed of a thin (1500 angstrom) imaging layer of poly(cyclohexylmethylsilane) coated over a thick planarizing layer of a hardbaked diazoquinone-novalac type photoresist using deep UV exposure. Although excellent resolution was achieved (< 0.5 micrometers ) in this process, the exposure doses required (125-150 mJ/cm2) were higher than desirable for commercial DUV imaging tools. We have addressed this problem using two approaches: (i) the synthesis of new polysilanes which are intrinsically more sensitive to photodegradation in the solid state and (ii) the incorporation of additives which enhance the photosensitivity. Regarding the former, a number of aryl substituted polysilane homopolymers which are significantly more photolabile than the standard poly (methylphenylsilane), as assayed by the rate of spectral photobleaching upon exposure to DUV radiation, have been prepared and tested. In addition, a number of small molecule additives which quantitatively quench the polymer fluorescence in the solid state have been identified. Some of the additives which efficiently quench the polymer fluorescence in the solid state also accelerate the rate of photodegradation. The combination of the new polysilane materials with sensitizing additives has allowed submicron DUV imaging at exposure doses as low as 20 mJ/cm2 or less in a bilayer configuration employing O2-RIE for image transfer of the wet-developed images.
Polysilyne resists for 193 nm excimer laser lithography
Author(s):
Roderick R. Kunz;
Patricia Anne Bianconi;
Mark W. Horn;
R. R. Paladugu;
David C. Shaver;
David Alastair M Smith;
Charles A. Freed
Show Abstract
Polyalkylsilynes have been used as resists for 193-nm projection lithography. These resists can be either wet developed using toluene or dry developed using HBr reactive ion etching (RIE). Wet development relies on crosslinking via intermolecular Si-O-Si bond formation to reduce solubility (negative tone) whereas the dry development relies on photo-oxidation to induce etch selectivity (also negative tone). The sensitivity in either case ranges from 20 to 200 mJ/cm2 and depends on the resist formulation. The best resist compositions are those that contain predominantly small (n-butyl) aliphatic pendant groups rather than large (cyclohexyl, phenyl) pendant groups. Using a 0.33 NA catadioptric lens with a phase mask, equal line-and-space features as small as 0.15 micrometers have been printed and transferred through 1.0 micrometers of planarizing layer (aspect ratio > 6) using oxygen RIE.
Application aspects of the Si-CARL bilayer process
Author(s):
Michael Sebald;
Joerg Berthold;
Michael Beyer;
Rainer Leuschner;
Christoph Noelscher;
Ulrich Scheler;
Recai Sezi;
Hellmut Ahne;
Siegfried Birkle
Show Abstract
The basic chemistry and lithographic characteristics of anhydride- containing, diazo-based NUV and DUV resists as well as silylation of top resist patterns with aqueous solutions of silicon-containing diamines in the Si-CARL bilayer process (CARL: Chemical Amplification of Resist Lines) were reported recently. This paper describes technical control of the Si-CARL process for g-line and DUV in a 6 inch pilot line using automatic equipment. Linewidth uniformity of top resist patterns is not affected by silylation and is found to be 0.045 micrometers (3(sigma) ) for nominal 0.4 micrometers lines/spaces, the resolution limit of the 0.55 NA g- line stepper used. Both overexposure and linewidth increase due to silylation conditions can be used in the Si-CARL process for optimization of defocus latitudes. With the use of a 0.55 NA g-line stepper total defocus latitudes are 2.8 micrometers for 0.6 micrometers equal lines and spaces and > 3.2 micrometers for isolated 0.6 micrometers spaces. In order to meet the requirement for sufficient throughput on KrF-excimerlaser steppers the sensitivity of DUV top resists is improved by chemical variations of resist polymers. The use of maleimide-containing resist polymers with improved alkaline solubility in diazo-inhibited top resists allows resolution of 0.25 micrometers lines and spaces at 161 mJ/cm2 on a 0.37 NA KrF-excimerlaser stepper. Further considerable improvement of DUV sensitivity to 11 mJ/cm2 was achieved using an acid-catalyzed top resist based on onium-salt and a terpolymer containing N-t-BOC-maleimide-units.
Evaluation of phenolic resists for 193 nm surface imaging
Author(s):
Mark A. Hartney;
Donald W. Johnson;
Allen C. Spencer
Show Abstract
A variety of novolac-based polymers and blends with photoactive compounds were studied for their suitability as resists in a 193-nm positive-tone silylation process. The addition of photoactive compound was found to reduce the sensitivity of the resist and to hinder the diffusion of the silylating agent. Pure meta-cresol novolacs and polyvinylphenols, both of which can be polymerized to high (> 10,000) molecular weights show the best sensitivity for this process. Diffusion rates correlate with the molar volume of the silylating agent, although the activation energy does not. Resolution of 0.2-micrometers line-and-space gratings has been achieved with the polyvinylphenol and meta-cresol novolac resins.
In-situ monitoring of silylation mechanisms by laser interferometry
Author(s):
Christophe Pierrat;
Patrick Jean Paniez;
P. Martin
Show Abstract
Polymer composition and silylation kinetics have been correlated using a prototype module' derived from a Chemical Vapor Deposition (CVD) reactor. Reflectivity over the wafer was monitored in real time during silylation by laser interferometry. Using simulations, reflectivity variations can be related to changes in polymer layer thickness and refractive index, and then to silicon depth on the polymer. This work has been carried out mainly with pure cresol-formaldehyde novolak polymers and poly(p-vinylphenol). For these experiments, polymers with different isomeric structures and glass transition temperatures were studied comparison between the formulations was made in terms of swelling rate and silicon profiles in the resist. The influence of the hydroxyl group was also investigated using the various isomers of novolak polymers having the same glass transition temperature and using the same silylation conditions. We find that the silylation rate is higher when the glass transition temperature is lower. However, the glass transition temperature (Tg) is not the only pertinent parameter as the silylation rate of different polymers having the same Tg can be notably different. Finally, a review of possible silicon profiles was made including potential self diffusion or intermixing of silylated and unsiylated polymer chains.
Study of silylation mechanisms and kinetics through variations in silylating agent and resin
Author(s):
T. Teresa Dao;
Chris A. Spence;
Dennis W. Hess
Show Abstract
A study using several silylating agents to incorporate silicon into phenolic resins is presented. Results obtained from the systematic variations of the silylating agent size and reactivity, the resin molecular weight, temperature, and pressure gave insights into the factors controlling the silylation process. The silylating agents used were: (1) hexamethyldisilazane (HMDS), (2) trimethylsilyldimetheylamine (TMSDMA), (3) trimethylsilyldiethylamine (TMSDEA), (4) dimethylsilyldiomethylamine (DMSDMA), (5) 1,1,4,4-tetramethyl-1,4- bis(N,N-dimethylamino)disiethylene (TMDDS), (6) 1,1,3,3,5,5- hexamethylcyclotrisilazane (1,1,3,3,5,5-HMCTS), (7) 1,2,3,4,5,6- hexamethylcyclotrisilazane (1,2,3,4,5,6-HMCTS). Poly(para- hydroxystyrene) resin was chosen instead of photoresist due to its well- defined chemistry and availability in different molecular weights. The silylation was monitored by Fourier Transform Infrared Spectroscopy (FTIR) analysis, which showed the amount of silicon chemically bound to the resin, and Quartz Crystal Microbalance (QCM) measurements, which indicated the total silicon uptake reacted and unreacted) in the resin at any given time. Issues involved in operating a QCM and in controlling silylaint agent degradation are also discussed.
Generalized characteristic model for lithography: application to negative chemically amplified resists
Author(s):
David H. Ziger;
Chris A. Mack;
Romelia G. Distasio
Show Abstract
A generalized approach towards modeling resist performance is introduced and applied towards characterizing a negative chemically amplified resist system. The Generalized Characteristic Model for Lithography is used to extract parameters to easily evaluate development rates from characteristic curves. The model suggests that two lumped parameters, (alpha) nn and E0, dominate lithographic response for negative chemically amplified resists. Both (alpha) nn and E0 were regressed from characteristic curves over a postexposure bake temperature and time range from 110-150 C and 30-90 s and develop times from 30-150 s. E0 showed the predicted postexposure bake temperature and time and develop time dependencies over the processing window while (alpha) nn did not. Possible explanations for this discrepancy are discussed. These parameters were used to simulate linewidths that were compared with experimental results. Linewidth predictions using the Generalized Characteristic Model agreed to within 15% of experimental results over the entire processing window.
Reliability of contrast and dissolution-rate-derived parameters as predictors of photoresist performance
Author(s):
Peggy M. Spragg;
Rodney J. Hurditch;
Medhat A. Toukhy;
John N. Helbert;
Sandeep Malhotra
Show Abstract
The definition and use of contrast in photolithography has been adapted from the science and technology of photographic imaging media. Thus, a high contrast resists/process should allow useful high resolution images to be delineated even from a low contrast optical system (ie. one with low MTF) and hence provide improved resolution for diffracted limited imaging. Furthermore, it has been widely recognized and clearly documented, for example in ASTM F1059-87 that: 'The contrast value is a measure of process latitude of the photoresist'. Despite these assertions, there is a large body of evidence which suggests that contrast may not be a quantitative predictor of either resolution or process latitude, and in several cases opposite trends are reported. The authors of this paper set out to explore the utility of reliability of photoresist contrast (which we will refer to as 'gamma') as a predictor or resist performance including image profile, linearity and critical dimension control, both as a function of process variables such as film thickness, bake and develop conditions and as a function of resist composition. The influence of the precision of experimental measurements on the reliability of gamma determination is investigated. The recently reported variation of gamma with film thickness, which exhibits both a 'swing' and 'bulk' effect is confirmed. Experimental and computer simulation results show a 180 degree(s) out of phase relationship with Eo and an almost linear decrease in gamma (measured at Emax or Emin) with increase in film thickness, which is consistent with published theory. However, the phase relationship may be perturbed for conditions in which gamma is a strong function of process variables such as develop time and post exposure bake. It is shown that gamma correlates reasonably well with resist profile but less so with critical dimension, especially when comparing resists with varying optical absorption properties, or systems which exhibit high surface inhibition. Many correlation may only be meaningful it gamma measurements are carried out with control in resist film thickness to within the same limits necessary for reproducible lithography. Computer simulation is used as an aid to gain further insight into the relationship between gamma and the slope Tan (phi) of the steepest portion of the log (dissolution rate) vs. log (dose) curve. It is concluded that the use of gamma and Tan (phi) in combination should provide a more reliable measure of resist/process performance.
Effect of sensitizer spatial distribution on dissolution inhibition in novolak/diazonaphthoquinone resists
Author(s):
Veena Rao;
Laura L. Kosbar;
Curtis W. Frank;
Roger Fabian W. Pease
Show Abstract
The inhibition mechanism is novolak/diazonaphthoquinone resists is widely studied. We are interested in evaluating the effect of sensitizer distribution in the resist on the dissolution properties. Langmuir- Blodgett film deposition allows us to control the spatial distribution of sensitizer and thus evaluate its 'sphere of influence' on the dissolution inhibition of the novolak resin. We have performed both dissolution studies and lithographic studies on films with sensitizer deposition only on the surface as well as films with an embedded layer of sensitizer between two layers of novolak polymer. The surface layer films with one monolayer of sensitizer do not demonstrate any inhibitive properties unless the films are thermally treated. However, for the analogous embedded layer films, inhibition does occur without any baking of the samples. Also, in the lithographic studies, pattern contrast is improved considerably upon baking the samples; indicating that intimate interactions between resist components which may be induced by the thermal treatment is necessary for dissolution inhibition to take place.
Some experimental techniques for characterizing photoresists
Author(s):
Chris A. Spence;
Richard A. Ferguson
Show Abstract
Good experimental data is essential for the development of accurate and realistic models that describe resist behavior. In this paper, we give details of experimental procedures that can be used to collect data for resist modeling, and describe sources of error inherent to the various experimental methods. The need to acquire data in real time, from spun- cast films on wafers, is emphasized. The paper is illustrated with examples from our work in characterizing and modeling positive and negative deep-UV resists and silylation resists.
Physical aging of resists: the continual evolution of lithographic material
Author(s):
Patrick Jean Paniez;
Andre P. Weill;
Stephane D. Cohendoz
Show Abstract
Because polymers are not in a thermodynamic equilibrium for temperatures below Tg, such as room temperature, they gradually approach equilibrium through free volume relaxation. This phenomenon, called physical aging, implies that the lithographic material is slowly, but continually changing. These modifications can be observed using various techniques: Thermal Analysis, Ellipsometry, IRFT Spectrometry. The influence of physical aging on dissolution rate is clearly demonstrated. The results presented in this paper demonstrate that not only the chemical, but also the physical properties of polymers play an important role in lithographic processes.
Study of the chemically amplifiable resist materials for electron-beam lithography
Author(s):
Hiroo Koyanagi;
Shin'ichi Umeda;
Seiki Fukunaga;
Tomoyuki Kitaori;
Kohtaro Nagasawa
Show Abstract
As generally accepted, the chemically amplified resist system is one of the most promising candidates for a finer lithography. Our experimental results indicate that some of chemically amplified resist systems have drawbacks to be improved, however, especially in the sensitivity dependency on linewidth and in shelf life. This paper describes an improved resist system for electron beam lithography comprising polyvinylphenol, alkoxymethylbenzoguanamine, and triharomethylarylsulfone. Under optimization both in the resist formulation and processing, it has been shown that the resist system had a consistent sensitivity of 1.2 (mu) C/cm2 for a 0.15 micrometers and 2 micrometers line-and-space pattern, for instance, and that a shelving of the resist system for over three months at ambient temperature (25 C) gave rise to hardly any sign of change in its performance.
Novel base-generating photoinitiators for deep-UV lithography
Author(s):
Charles Kutal;
Scott K. Weit;
Robert D. Allen;
Scott A. MacDonald;
C. Grant Willson
Show Abstract
Thin films composed of the copolymer of glycidyl methacrylate-ethyl acrylate and cobalt(III) ammine or alkylamine salts as photoinitiators undergo crosslinking upon deep-uv irradiation and subsequent heating. The mechanism of crosslinking involves nucleophilic attack by photoliberated am(m)ime base on the epoxide ring of the copolymer. The quantum efficiency for photodecomposition of the cobalt(III) salts is about 1% at 254 nm. Oxygen plasma etching studies suggest that the cobalt(III) salts are dispersed as submicron particles throughout the film.
Nonmetallic acid generators for i-line and g-line chemically amplified resists
Author(s):
William R. Brunsvold;
Warren Montgomery;
Bao Hwang
Show Abstract
Here we report two novel nonmetallic acid generators, derived from N- hydroxy-2,3-diphenylmaleimide, which absorb in the Deep UV and Near UV regions and do not require sensitization by additives. We have formulated a base developable positive tone resist containing these acid generators and have demonstrated 0.6 micrometers resolution on g-line and i- line steppers with sensitivity less than 50 mJ/cm2. We will show data characterizing the new materials and describe lithographic results relating to the performance of the resist.
Polyvinylphenols protected with tetrahydropyranyl group in chemical amplification positive deep-UV resist systems
Author(s):
Nobuaki Hayashi;
Leo Schlegel;
Takumi Ueno;
Hiroshi Shiraishi;
Takao Iwayanagi
Show Abstract
Tetrahydropyranyl(THP) protected poly(p-vinylphenol)s were synthesized and their acid-catalyzed thermal deprotection has been utilized in the design of alkali developable, positive deep UV resist systems incorporating chemical amplification. Solubility of poly(p- tetrahydropyranyloxystyrene)(THP-M) films mixed with appropriate photoacid-generator (PAG) in alkaline developers increases upon exposure to deep UV radiation and subsequent heating. In a three component application where THP-M and PAG are mixed with a novolak resin, THP-M acts as an acid-labile dissolution inhibitor. Its dissolution inhibition ability was higher than that of a conventional photo-active dissolution inhibitor, diazonaphthoquinone. It is found that simple sulfonic esters such as 1,2,3-tri(methane sulfonyloxy) benzene (MeSB) are superior to onium salts in the three component approach because no negative tone side effect occurs when these esters are used as PAG. Fine patterns of 0.35 micrometers lines and spaces are obtained using KrF excimer laser steppers for the 3-component resists.
Mechanistic studies on the poly(4-tert-butoxycarbonyloxystyrene)/triphenylsulfonium salt photoinitiation process
Author(s):
Nigel P. Hacker;
Kevin M. Welsh
Show Abstract
Studies on the poly(4-tert-butoxycarbonyloxystyrene)/triphenylsulfonium salt (TBOC resist) photoinitiation process show strong evidence for a dual photoinitiation process. Photolysis studies on the relative quantum yields and also the ratios for in-cage versus cage-escape sulfide show that the TBOC polymer behaves differently from other polymers and likely sensitizes the decomposition of some of the triphenylsulfonium salt. Also the TBOC resist shows photoactivity at 300 nm, where the polymer absorbs but the triphenylsulfonium salt has only very weak absorbance, which suggests that the sensitization process is initiated by the polymer. However the fact that substantial amounts of in-cage products are also formed for photolysis of the TBOC resist, implicates a direct photodecomposition of the triphenylsulfonium salt. Fluorescence quenching studies on TBOC resist films show that the sensitization proceeds by electron transfer from the singlet excited state of the TBOC polymer and that a mainly static quenching mechanism is involved. The photoinitiation of the TBOC cleavage reaction proceeds by a dual initiation pathway which involves both the excited state of the polymer and the excited state of the triphenylsulfonium salt.
Process latitude for the chemical amplification resists AZ PF514 and AZ PN114
Author(s):
Charlotte Eckes;
Georg Pawlowski;
Klaus Juergen Przybilla;
Winfried Meier;
Michel Madore;
Ralph R. Dammel
Show Abstract
The effect of process conditions on the performance of the chemically amplified radiation resists AZ PF514 (positive tone) and AZ PN114 (negative tone) has been examined for both X-ray and E-beam application. For the positive tone resist, it is found that mandatory atmospheric holding times for the catalytic reaction can be made redundant be the introduction of a post exposure bake at moderate temperature, yielding high exposure latitude, good linearity and a reduction of time-dependent effects. In particular, metal-ion free developers yield highly vertical resist sidewalls even for high overdoses. The sensitivity drift with increasing residence time in a vacuum (e.g. during E-beam exposure) may be counteracted by a simple DUV flood exposure which moreover may serve to control line shape and wall angle. Enhanced dry-etch stability and linearity may be obtained by means of an optimized DUV hardening process. The negative tone resist AZ PN114 is shown to be little sensitive to vacuum effects; thermal stability and dry etching properties are found to be superior. Results are presented for X-ray and shaped E-beam exposures.
Negative chemical amplification resist systems based on polyhydroxystyrenes and N-substituted imides or aldehydes
Author(s):
Hiroshi Ito;
Klaas Schildknegt;
Eugene A. Mash
Show Abstract
Aqueous base developable negative deep UV resist systems composed of phenolic resins, monofunctional latent electrophiles, and a sulfonium salt photochemical acid generator are described. This study was carried out to see whether attachment of a bulky substituent onto the phenolic group via C- or O-alkylation reduces the dissolution rate of the phenolic resin in aqueous base to provide negative images even when no crosslinking is involved in the mechanism. The latent electrophiles selected are N-hydroxymethyl and N-aceotxymethylimides as well as high- boiling aldehydes. Our matrix resins are para-, meta-, and ortho-isomers of polyvinylphenol and copolymers of p-hydroxystyrene.
Acid-catalyzed pinacol rearrangement: chemically amplified reverse polarity change
Author(s):
Ratnam Sooriyakumaran;
Hiroshi Ito;
Eugene A. Mash
Show Abstract
The reverse polarity change from a polar to a nonpolar state has been successfully incorporated in the design of chemical amplification resists. The imaging mechanism is based on the pinacol-pinacolone rearrangement, wherein vic-diols (pinacols) are converted to ketones or aldehydes with photochemically generated acid as a catalyst. In addition to a polymeric pinacol which undergoes the rearrangement very cleanly in the solid state, aqueous base developable three-component negative deep UV resist systems are described, which are based on phenolic resins, small pinacols, and triphenylsulfonium hexafluoroantimonate as the acid generator.
Negative resist systems using acid-catalyzed pinacol rearrangement reaction in a phenolic resin matrix
Author(s):
Shou-ichi Uchino;
Takao Iwayanagi;
Takumi Ueno;
Nobuaki Hayashi
Show Abstract
Acid-catalyzed dehydration of pinacols known as pinacol rearrangement has been utilized in the design of alkali developable, negative working resist systems. The resist systems are composed of a pinacol compound used as a dissolution inhibitor precursor, diphenyliodonium triflate and a novolak resin. The resist system using hydrobenzoin (HB) shows better lithographic performance than the resist systems using other pinacol compounds such as 1,1,2,2-tetramethylethylene glycol (TMEG), benzopinacole (BP), DL-(alpha) ,(beta) -di-(4-pyridyl) glycol (DPG), and 2,3-di-2-pyridyl-2,3-butanediol (DPB). In the unexposed region, HB acts as a dissolution promoter of novolak resin due to its hydrophilic property. HB reacts with acid to produce hydrophobic materials such as diphenyl-acetaldehyde. Therefore, the solubility of the HB resist film in alkaline developers decrease upon exposure to deep UV radiation and subsequent heating. The resist system has high contrast and high resolution capability. Line-and-space patterns of 0.3 micrometers are obtained using a KrF excimer laser stepper with a 5 mJ/cm2 dose.
Preparations and properties of novel positive photosensitive polyimides
Author(s):
Rumiko Horiguchi Hayase;
Naoko Kihara;
Naohiko Oyasato;
S. Matake;
Masayuki Oba
Show Abstract
Polyamic acid esters with phenol moieties (Ph-ES) were synthesized from diamines and dicarboxylic acids bonding to phenol moieties through ester linkage. To synthesize the dicarboxylic acids, 1 mol of BTDA was reacted with 2 mol of m-hydroxybenzyl alcohol in NMP. The resultant dicarboxylic acid are predominantly benzyl esters, not phenyl esters, was condensed with ODA, using DCC as condensing agent. The polyimide precursors Ph-ES was actually soluble in basic aqueous solutions. However, its dissolution rate was too low for binder resins used for resists. To increase the resist dissolution rate, polyamic acid PA, which is assumed to be more soluble in the base developer, was added to Ph-ES. The PA was synthesized from BTDA and ODA. Resists containing Ph-ES (60 wt%), PA (20 wt%) and naphthoquinone diazide (20 wt%) gave 4 micron line and space pattern with 5 micron thickness. There was no pattern deformation, even after the polyamic acid ester was heated at 320 degree(s)C to form the polyimides. The PA content was critical to the high resolution achievement. As the content of the PA to Ph-ES increases, the pattern shape of the resist deteriorated rapidly. At more than 40 wt% PA rate, patterns could not be obtained, because fine patterns peeled off form the silicon wafer substrate during the development. This proved that adjusting dissolution rates in basic aqueous solutions is one of the significant points for realizing fine resist patterns.
Novel quinonediazide-sensitized photoresist system for i-line and deep-UV lithography
Author(s):
Seiki Fukunaga;
Tomoyuki Kitaori;
Hiroo Koyanagi;
Shin'ichi Umeda;
Kohtaro Nagasawa
Show Abstract
this paper describes a novel resist material for i-line or deep uv lithography, consisting of imidazoylsulfonyl-naphthoquinonediazide (IPAC) and co(p-tert.-butylphenol-Bisphenol A)-formaldehyde resin (PBR). Along with the resist material comprising IPAC and PBR, another resist material of which matrix resin is substituted with a chemically modified polyvinylphonol (MPVP) is also presented. PBR and MPVP are considerably transparent at i-line and deep uv regions.
Structure of poly(p-hydroxystyrene) film
Author(s):
Minoru Toriumi;
Masatoshi Yanagimachi;
Hiroshi M. Masuhara
Show Abstract
Pyrene-doped poly(p-hydroxystyrene) (PHST) thin films prepared by spin- coating method are studied by time-resolved total-internal-reflection fluorescence spectroscopy. We observed inhomogeneity such as a concentration gradient of doped pyrene molecules and a gradient of polarity (hydrophobicity), and also the existence of isolated pyrene molecules. Stable ground-state dimers of pyrene are found in surface, bulk, and interface layers of a PHST film. These features cause the complicated rise and decay of fluorescence. These results also depend upon the preparing process such as the baking condition.
DQN photoresist with tetrahydroxydiphenylmethane as ballasting group in PAC
Author(s):
Chao Huei Tzeng;
Dhei-Jhai Lin;
Song-Shiang Lin;
Dong-Tsair Huang;
Hwang-Kuen Lin
Show Abstract
A new photoactive compound (PAC) has been developed for a DQN (Diazonaphtho Quinone Novolak) resist system. The PAC is an esterification product of 1,2-naphthoquinone diazide-5-sulfonyl chloride and 2,3,4,4'-tetrahydroxydiphenylmethane (2344-THDM), which is a hydrogenation product of 2,3,4,4'-tetrahydroxybenzophenone (2344-THBP). The resist formulated from the cresol novolak and PAC exhibited fairly good light absorption and bleaching characteristics in the region of 300-45 nm. The resist performances such as resolution, photospeed, and exposure and defocus latitude in the g-line and i-line steppers are shown, respectively.
Mechanism of dissolution inhibition of novolak-diazoquinone resist
Author(s):
Mitsuhiro Furuta;
Shingo Asaumi;
Akira Yokota
Show Abstract
Generally accepted mechanism in the development of a positive photoresist is that the diazonaphthoquinone (NDQ) in the unexposed portion undergoes azo-coupling with novolak resin to thereby form a pattern. The azo dyes having free phenolic groups are, however, soluble to an aqueous base solution. Since an azo-coupling product is already present in the developer-dissolved material upon immersion of an unexposed photoresist in a developer, it is unlikely that the azo- coupling product has an effect of inhibiting the dissolution of the azo dyes into the developer. When a photoresist is immersed in a developer, the proportion of NDQ increases as well as the amount of the trihydroxybenzophenone-diazonaphthoquinone-sulfonate (NDQ-ester) and of the higher molecular weight portion of the novolak resin. We accordingly assumed that the dissolution-inhibiting effect was exerted by a mixture of NDQ-triester and the higher molecular weight portion of the novolak resin deposited on the surface of the photoresist during development.
Dissolution kinetics of high-resolution novolac resists
Author(s):
Katsuyuki Itoh;
Koji Yamanaka;
Hiroshi Nozue;
Kunihiko Kasama
Show Abstract
Dissolution kinetics, as well as the formation mechanism of a surface insoluble layer produced by dipping into TMAH (tetramethylammonium hydroxide) developer, have been investigated. In the previous paper, we mentioned that dissolution rate characteristics of high resolution novolac resist are clearly divided into three regions. To investigate this dissolution mechanism, we evaluated the temperature dependence of R (Dissolution rate) by changing the exposure dose, PAC (photoactive compound equals dissolution inhibitor) and the TMAH concentration. From Arrhenius Plots of these resist systems, it is considered that R is determined by two competitive reactions in the presence of TMAH; i.e., (a) the complex formation between PAC and novolac resin which produces dissolution inhibition effect, (b) TMAH induced deprotonation of phenolic hydroxy groups in novolac resin which accelerates the dissolution of the resist. Furthermore, we also describe the formation mechanism of a surface insoluble layer produced by dipping into a TMAH developer followed by water rinse, on the basis of the dissolution time of this layer (ts). The resist surface was also analyzed by using FT-IR (Fourier transform infrared spectroscopic measurement) and XPS (X-ray photoelectron spectroscopy). As a result, it was found that (a) water rinse is essential for the surface insoluble layer formation, (b) the ts value is not directly correlated with PAC accumulation in the resist surface and (c) the ts value becomes longer when the amount of penetrated TMAH into the resist increases. These results suggest that the surface insoluble layer is produced via water rinse of PAC-novolac complex described above.
Technology and chemistry of high-temperature positive resist
Author(s):
Medhat A. Toukhy;
Thomas R. Sarubbi;
David J. Brzozowy
Show Abstract
The strategy and chemistry employed to develop high resolution, high temperature positive resist systems (flow temperature >= 140 degree(s)C) based on novolak resin chemistry are reviewed and compared in this paper. Modest gains in resist thermal flow stability have been obtained using conventional cresol novolaks either by increasing molecular weight or decreasing the molecular weight dispersity by fractionation of the novolaks. Large gains in resist thermal flow stability have been obtained by adding a crosslinking agent to the resist. Similar gains have been obtained by redesigning the novolak structure by judicious choice of monomer to give high backbone rigidity. This rigidity imparts high thermal stability to the resists. Examples of the later two strategies are discussed in detail. The advantages and disadvantages of each approach, the trade-offs, and compromises in resist thermal flow stability, photospeed, and resolution are discussed in this review.
Surface imaging on the basis of phenolic resin: experiments and simulation
Author(s):
Lothar Bauch;
Ulrich A. Jagdhold;
Helge H. Dreger;
Joachim J. Bauer;
Wolfgang W. Hoeppner;
Hartmut H. Erzgraeber;
Georg G. Mehliss
Show Abstract
Process simulation based on a two dimensional diffusion model for the reaction of the hexamethyldisilazan (HMDS) within the resist considering the crosslinks between photo active component (PAC) and resin and the following dry development is outlined. Results of silylation and etching simulation are discussed. Furthermore the influence of the resin composition and the conditions of silylation will be presented for an ORWO-resist.
Synthesis and lithographic evaluation of alternating copolymers of linear and cyclic alkenyl(di)silanes with sulfur dioxide
Author(s):
Antoni S. Gozdz;
John A. Shelburne
Show Abstract
Free-radical alternating copolymerization of a series of acyclic and cyclic alkenyl(di)silanes with sulfur dioxide has been investigated with a view toward the preparation of new sensitive electronbeam resists stable in oxygen plasmas. The acyclic (omega) - alkenylpentamethyldisilanes studied in this work are represented by a general formula H2C equals CH-(CH2)n-SiMe3-X-SiMe3, where X equals bond and n equals 1-4 compounds 1a to 1d, X equals O, n equals 3 for 2c, and X equals CH2, n equals 3 for 3c. The cyclic alkenyl(di)silanes had a general formula -CH2-CH equals CH-CH2-SiMe3-X-, where X equals bond (4a), -SiMe2- (4b), or -O-SiMe2 (4c). High molecular weight soluble copolymers were obtained from 1b, 1c, 4a, and 4b. Monomer 4c failed to copolymerize with SO2 even at -75 degree(s)C, and copolymers of 1a, 1d, 2c and 3c with SO2 were insoluble. Thermal and oxygen plasma stability data and solubility characteristics indicate that among the copolymers studied, poly(1b sulfone) exhibits an optimum combination of properties for lithographic applications. This was confirmed by lithographic tests in which grating structures having a pitch of less than 250 nm were fabricated using this copolymer as a sensitive, oxygen plasma stable e-beam resist.
Novel surface imaging masking technique for high-aspect-ratio dry etching applications
Author(s):
Gary S. Calabrese;
Livingstone N. Abali;
John F. Bohland;
Edward K. Pavelchek;
Prasit Sricharoenchaikit;
Gerald Vizvary;
Stephen M. Bobbio;
Patrick Smith
Show Abstract
G- and i-line diazonaphthoquinone/novolak photoresist films are surface imaged with g-line, i-line and deep-UV steppers. Following optical exposure, the resist film is treated with aqueous solutions which deposit a catalyst for electroless metal deposition. Wet development of the exposed and catalyzed photoresist results in selective removal of catalyst along with the exposed portion of the underlying photoresist. Upon immersion in an aqueous electroless plating solution, metal is selectively deposited on the unexposed photoresist which is still bearing catalyst to yield a positive-tone plasma etch mask. Oxygen magnetron-enhanced reactive ion etching (O2 MERIE) provides high polymer etch rates (approximately equals 1 micrometers /min) with excellent selectivity (> 300:1) to 70-170 angstrom Ni films. In addition, large ion fluxes produce highly anisotropic etch profiles for faithful pattern transfer. The process has achieved 0.30 micrometers resolution with a 6:1 aspect ratio at 248 nm (0.35 NA). Printing of 0.40 micrometers lines and spaces has been achieved at i-line (0.45 NA) over Al steps.
Highly sensitive microresinoid siloxane resist for EB and deep-UV lithography
Author(s):
Satomi Yamazaki;
Shinji Ishida;
Hiroshi Matsumoto;
Naoaki Aizaki;
Naohiro Muramoto;
Katsutoshi Mine
Show Abstract
The multi-layer resist process is an essential technology for the future of both electronbeam (EB) and deep UV exposure. This process has been expected to reduce the proximity effect in EB exposure, and to planarize the high steps of device surfaces. A new bi-layer siloxane resist has been developed that gives negative tone images and has high sensitivity and high resolution for EB and deep UV lithography. High sensitivity was accomplished by introducing a chloromethyl-phenyl-alkyl (CPA) group, which has a resonant effect, as a functional group into the siloxane resin. For deep UV, the advantage of the siloxane resist is that is has a transmittance over 90%. The resist patterns of 0.2 micrometers at 10 (mu) C/cm2 and 0.3 micrometers at 80 mJ/cm2 were obtained for a shaped electron beam and deep UV, respectively. The O2 reactive ion etching (RIE) selectivity of the siloxane resist over the underlaid organic layer was about 30. The new siloxane resist is suitable for 64 M and 256 M DRAM lithography processes.
Progress in the study of development-free vapor photolithography
Author(s):
Xiaoyin Hong;
Dan Liu;
Zhong-Zhe Li;
Ji-Quang Xiao;
Gui-Rong Dong
Show Abstract
Development-free vapor photolithography (DFVP) is a unique all-dry pattern transfer technique and has been successfully used in manufacturing microelectronic devices. It can simultaneously overcome the diffraction limitation and the problems arisen from wet process. In DFVP the etching reaction of SiO2 with gaseous HF occurs at the buried SiO2/polymer film interface. Contrary to the conventional lithography, the photopolymer used in DFVP does not act as a resist but an accelerator. In this paper a proposed mechanism of the etching reaction and explanations of very high resolution, high aspect ratio and the requirement of high exposure dose in DFVP will be presented. In addition, this paper will report the investigation of parameters as the functions of etching rate.
Resist design for dry-developed positive working systems in deep-UV and e-beam lithography
Author(s):
Francoise Vinet;
Michele Chevallier;
Christophe Pierrat;
Jean Charles Guibert;
Charles Rosilio;
B. Mouanda;
A. Rosilio
Show Abstract
A positive working system, using silylation and dry development has been proposed. This system called 'PRIME' (Positive Resist IMage by dry Etching) is dedicated to e-beam and deep UV lithography. Due to high contrast and top image scheme, a wide process latitude is obtained. However, the exposure does required for resist crosslinking is high. This dose is about 300 mj/cm2. So, in order to decrease it, resist formulations have been investigated such as chlorinated and chloromethylated novolaks, grafted p-tertiobutylphenol-formol novolak, polyhydroxystyrene and copolymers poly hydroxystyrene/poly(chlorinated or chloromethylated styrene) with deep UV sensitive groups. This paper will include synthesis of previously described resist formulations, ability of these formulations to deep UV crosslinking and preliminary results of their application to PRIME process.
Effect of silylation condition on the silylated image in the DESIRE process
Author(s):
Kazuo Taira;
Junichi Takahashi;
Kenji Yanagihara
Show Abstract
In recent years, there have been a lot of studies on surface imaging techniques in which the single layer lithography process is utilized. They have advantages of capability of high resolution, wide focus latitude, small critical dimension (CD) variation on topography, as well as their process simplicity compared with multilayer lithography process. The DESIRE process, one of the surface imaging process, also has the same advantages. This process is based on the selective silylation which proceeds only in an optically exposed region of surface layer with use of vapor phase silylation. This paper describes the relation between resist profile and the silylation condition which may influence the silylated layer owing to the variation of diffusion characteristics of the silylation agent. We found that resist profile was strongly influenced by the silylation condition. In order to make the phenomenon clear, we studied the silylated profile of cross sectional view under various silylation conditions. As a result, it was found that the lower degree of silylation became, the less silicon diffusion depth was dependent on exposure energy and pattern size. Furthermore, the silylated profile having a gentle slope and thin shape tended to make a vertical sidewall profile after dry-development. It is discussed what characteristics of silylated profile have a significant effect on the final resist profile in the DESIRE process.
Dry development and plasma durability of resists: melt viscosity and self-diffusion effects
Author(s):
Patrick Jean Paniez;
Olivier P. Joubert;
Michel J. Pons;
Jean Claude Oberlin;
Andre P. Weill
Show Abstract
The degradation mechanisms of novolaks and high molecular weight polymers during plasma etching are investigated. The mechanical effects of ion bombardment are shown to generate surface degradation whereas the thermal effects allow the extension of the degradation to the bulk through self diffusion of chains. The various experiments clearly demonstrate the role of chain mobility and viscoelastic properties in the bulk degradation of polymers. If self diffusion, and this degradation, can easily occur with low molecular weight polymers, chain scission in high molecular weight polymers can lead to the same result. Degradation can be avoided by crosslinking polymer chains before or during plasma etching. Experiments with several commercial resist are given as an example.
Oxygen plasma etching of silylated resist in top-imaging lithographic process
Author(s):
Han J. Dijkstra
Show Abstract
Oxygen plasma etching of the thin silylated areas in the top-imaging DESIRE process has been studied in a Reactive Ion Etcher (RIE) and in a Distributed Electron Cyclotron Resonance (DECR) etcher. For many RIE process conditions the steady-state model for etching of organosilicon polymers can be used to describe the etch behavior of silylated resist. Deviations from the steady-state model are discussed. It was found that wafer temperature strongly influences the etch rate. After prolonged etching the etch rate of silylated resist increases slowly with time. Rutherford Backscattering Spectroscopy shows that during etching silicon redistribution in the resist occurs. Further, the Si yield per incoming oxygen ion was shown to be pressure dependent. For DECR etching of silylated resist no steady-state behavior was found. The etch rate is not constant, but increases in time. The implications of the etching behavior of silylated resist on pattern formation in the DESIRE process is discussed.
Applicability of dry developable deep-UV lithography to sub-0.5 um processing
Author(s):
Anne-Marie Goethals;
Ki-Ho Baik;
Luc Van den Hove;
Serge V. Tedesco
Show Abstract
Dry developable lithography as represented by the DESIRE process, is one of the most attractive surface imaging technologies for advanced optical lithography. A resolution of 0.25 micrometers has been demonstrated with this process, using i-line exposure in conjunction with a phase shifting mask and by deep-UV exposure (248 nm). Surface imaging is especially suited for deep-UV lithography since it overcomes the poor CD-control over topography encountered with highly transparent wet developable resists. In this work the applicability of DESIRE to sub-0.5 micrometers processing has been studied. With regard to the silylation process, crosslinking effects resulting from the radiation at 248 nm have been found to reduce the Si incorporation. This crosslinking effect can be reduced by the use of alternative silylating agents (such as TMDS 1,1,3,3-tetramethyl disilazane), which silylate at a lower temperature. A comparison of processing latitudes for lines and for contact holes has been made for silylation with HMDS and with TMDS. Other issues related to the implementation of DESIRE in typical CMOS processing, such as dry etch compatibility and resist stripping have also been addressed.
Characterizing a surface imaging process in a high-volume DRAM manufacturing production line
Author(s):
Cesar M. Garza;
David L. Catlett;
Ricky A. Jackson
Show Abstract
The manufacturing of the next generation of DRAMs will require microlithographic capability in the 0.5 micrometers range. Our goal is to develop this capability using g-line optical microlithography; and i- line when g-line fails. To determine if surface-imaging is a viable alternative to extend the practical resolution limit of g-line lithography in a manufacturing environment, we have set up and characterized DESIRE, a surface-imaging process, in a high-volume DRAM manufacturing production line. This characterization study includes: (a) determination of basic lithographic data, (b) measurement of linewidth as the criterion to determine the stability of the process over time, (c) pattern transfer and stability of the resist to dry-etch processes, (d) measurement of any radiation-induced damage taking place during dry- development.
Optimization of optical properties of resist processes
Author(s):
Timothy A. Brunner
Show Abstract
Thin film interference plays a dominant role in CD control of single layer photoresist processes, causing large changes in the effective exposure dose due to a tiny change in optical phase. Such interference effects are evident in the sinusoidal undulations of a plot of dose to clear versus resist thickness, the 'swing curve'. To quantify the interference swing, we define the swing ratio S as the ratio of the peak to valley change to the average value in the swing curve. S is a fundamental figure of merit for photoresist processes, since linewidth variations with small changes in resist thickness are proportional to S. A simple optical model of photoresist (as a Fabry-Perot etalon) leads to the following analytical expression for the swing ratio S: S approximately equals 4(root)R1R2 e-(alpha D) where R1 is the reflectivity of the resist/air interface, R2 is the reflectivity of the resist/substrate interface, and (alpha) is the resist absorption coefficient. Efforts to improve process control have lead to the invention of many cleaver resist processes including Top Surface Imaging (TSI), Anti-Reflection Coats (ARC), dyed resists, etc. Eq. 1 allows a classification of these processes according to which factor is modified. For example, TSI and dyed resist processed reduce S by increasing (alpha) . ARC processes reduce S as the square root of substrate reflectivity under the resist R2. Fundamental limits of the performance of thin absorbing ARC layers will be described. A novel approach, termed the Top Anti-Reflector (TAR) process, reduces the reflection at the top of the resist R1 and can be shown to dramatically improve process control over varying thicknesses of resist and thin film layers. The effects of multiple wavelength exposure and oblique rays from high NA optics are also briefly examined.
Critical dimension shift resulting from handling time variation in the track coat process
Author(s):
John M. Kulp
Show Abstract
A study was undertaken to determine if the critical dimension (CD) of a photoresist window varied due to variations in handling time between soft bake and the subsequent forced cool on a cool plate. A statistically significant shift in the CD was observed; the shift was correlated with the handling time variation with a confidence level of 90%. The trend of the observed shift at 42% relative humidity described a 0.0012 micrometers reduction in window dimension per second of added delay between soft bake and forced cool. Recommendations for optimum handling time control are identified for the conditions employed in this study; indications of optimum humidity for bake latitude are discussed.
Resist parameter extraction with graphical user interface in X
Author(s):
Anita S. Chiu;
Richard A. Ferguson;
Takeshi Doi;
Alfred K. K. Wong;
Nelson Tam;
Andrew R. Neureuther
Show Abstract
A graphical user interface has been developed to run with PARMEX for automatically modeling acid-hardened chemically-amplified resist from dissolution measurement and SAMPLE prediction of material state changes during exposure and bake. This software called PIX-PARMEX (Program user Interface in X-PARMEX) allows the user to view the data while using mouse driven commands in interactively adapting data reduction and curve fitting. PIX-PARMEX has been developed using X windows and UNIX for use on engineering workstations. Standard models found in the literature for process simulation in programs such as SAMPLE and PROLITH are included. Examples are given for the KTI-895i resist with i-line exposure, and the acid-hardened resist, Shipley XP-8843 with deep-UV exposure.
Process latitude measurements on chemically amplified resists exposed to synchrotron radiation
Author(s):
Carl P. Babcock;
James Welch Taylor;
Monroe Sullivan;
Doowon Suh;
Dean Plumb;
Shane R. Palmer;
Amanda K. Berry;
Karen A. Graziano;
Theodore H. Fedynyshyn
Show Abstract
Several chemically-amplified resists, positive and negative, have been evaluated for synchrotron x-ray lithography. Some have shown sensitivities as low as 10.1 mJ/cm2. Linewidths of 0.3 micron have been achieved in 1 micron thick single-layer resist with vertical sidewalls and good process latitude, at an x-ray dose of below 50 mJ/cm2. The chemically amplified resists are processed similarly to conventional resists using metal ion free aqueous base developers. Data re presented for resists from Shipley, Rohm and Haas, and Hoechst AG. Lithographic exposures were performed with the University of Wisconsin's Aladdin synchrotron, using the ES-1 beamline of the Center for X-ray Lithography.
Process control capability using a diaphragm photochemical dispense system
Author(s):
Terrell D. Cambria;
Scott F. Merrow
Show Abstract
This paper describes the methods and equipment which can be used to optimize the photochemical dispense
process from a contamination and process control perspective. It describes the impact of point-of-use (POU)
filtration on coating quality and gel removal; compares the performance of bellows and diaphragm pump designs
for the dispensing of photoresist; and quantifies defect reduction studies using POU filtration of polyimide and
spin-on-glass (SOG) photochemicals.