Proceedings Volume 1263

Electron-Beam, X-Ray, and Ion-Beam Technology: Submicrometer Lithographies IX

cover
Proceedings Volume 1263

Electron-Beam, X-Ray, and Ion-Beam Technology: Submicrometer Lithographies IX

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 1 May 1990
Contents: 7 Sessions, 34 Papers, 0 Presentations
Conference: Microlithography '90 1990
Volume Number: 1263

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Ion-Beam Lithography
  • X-Ray Lithography I
  • Electron-Beam and X-Ray Resist Technology
  • X-Ray Lithography I
  • Electron-Beam Lithography
  • X-Ray Lithography II
  • Additional Paper
  • Electron-Beam Lithography
  • Electron-Beam and X-Ray Resist Technology
  • Poster Session: Beam Lithography
  • X-Ray Lithography I
  • Ion-Beam Lithography
Ion-Beam Lithography
icon_mobile_dropdown
Model for focused ion beam deposition
James P. Levin, Patricia G. Blauner, Alfred Wagner
A model of focused ion beam deposition of materials is described. Decomposition of organometallic molecules by ion beams 50-300 nm in diameter allow localized deposition of a variety of metals. The large current density (approximately I A/cm2) and the inherent sputtering of a focused ion beam can result in no net deposition for a variety of process conditions. The major process parameters of current density, beam dwell time, and readsorption time are introduced. Experimental examples of gold depositions from dimethylgold hexafluoro acetylacetonate or DMG(hfac) are presented to illustrate the effect of the process parameters on size and shape of the depositions.
Microstructuring of gold on x-ray masks with focused Ga+ ion beams
Karl Paul Muller, Hans-Christian Petzold
For repairing opaque defects on x-ray masks by focused gallium ion beams (Ga-FIB), it is important to achieve nearly vertical slopes in the remaining absorber material and to avoid the redeposition of sputtered particles. The sputter yield depends on the angle of incidence. Since this angular dependence influences the slope angle, th sputter yield of a 100 keV focused Ga ion beam on polycrystalline gold, as used on x-ray masks, was measured at five different angles of incidence (8 =0 , 30 , 45 , 60 , 80 ). At normal incidence (9 =0 ) the yield is 32. It increases with increasing angle of incidence and becomes 128 at e = 80 By means of computer simulations it could be shown, that the sputter yield at great angles of incidence plays the predominant role for the evolution of the slope, even if the repair process is carried out with nominally vertical incidence. A high sputter yield at a great angle of incidence leads to a precipitous slope (a =85 ). An even better slope angle was achieved when the beam was scanned in an appropriate manner: If the center of the beam, where the ion current density is maximum (Gaussian beam shape), is directed onto the lower parts of the slope, there, an increased sputter erosion takes place. The reason is not only the increased ion current density, but the higher sputter yield at great angles of incidence as well. Experimentally and in a computer simulation it could be shown that a slope angle of a =88 can be achieved in forward direction (direction of scanning). Since redeposition affects the microstructuring of gold substantially, the redeposition characteristics (spatial distributions of sputtered particles) were measured for two angles of incidence (=0 , 45°). In both cases an area of 500 zm x 10 mm of a polycrystalline gold layer was bombarded with a 100 keV Ga+ focused ion beam. The sputtered particles were collected on semi-cylindrical glass screens. The redeposited gold layers on the inner surface of the glass screens were measurable after 40 hours of sputtering each specimen. The maximum thickness was 1.4 nm for the normal incident beam and 2.2 nm for a beam inclination of 45 . The redeposited layers were evaluated locally resolved by an optical transmission measurement system. These measurements show that the spatial distribution of the sputtered gold particles can be approximated by a cos2-function for both, normal and inclined incidence. Taking this distribution, the simulations were carried out.
Focused ion-beam-induced tungsten deposition for repair of clear defects on x-ray masks
Diane K. Stewart, Lewis A. Stern, Gordon Foss, et al.
A 25 key focused Ga ion beam was used to induce deposition of tungsten on a gold absorber on boron nitride X-ray mask with submicron features to simulate the repair of clear defects. Tungsten was deposited to fill holes, extend lines and add missing features, such as isolated contacts and lines. Deposits were placed between features and made to cross over both gold and tungsten features to evaluate proximity effects. Series of tungsten depositions that varied in thickness were exposed to an X-ray source and transferred into resist. Contrast equivalent to or better than the gold absorber was achieved for tungsten that was thinner than the gold.
Advances in mask fabrication and alignment for masked ion-beam lithography
David P. Stumbo, George A. Damm, D. W. Engler, et al.
This paper describes recent developments in three areas ofmasked ion beam lithography (MIBL). These are 1) fabrication oflarge area, low distortion, silicon stencilmasks for demagnifying ion projection lithography, 2) fabrication ofstencil masks with nanometer scale resolution for 1:1 proximity printing, and 3) development of a direct method of alignment using the ion beam induced fluorescence of Si02. These topics are discussed below. Demagnifying ion projection masks: We describe the fabrication of stencil masks in large area, low stress (10 MPa), n-type silicon membranes. The projection masks have a silicon foil area 95 mm in diameter, thicknesses between 1.5-5 and resolution of0.6um. Measured distortion (3a) in the IPL masks ranges between 0.23gm and 0.65,um, with an experimental error of 0.20 1um. Proximity printing masks: A process is described for fabricating stencil masks with 50 nm resolution in low stress, n-type silicon membranes. Membranes less than 0.5 ,ttm thick are shown to be free of the sidewall taper that limits resolution in thicker masks. These thin membranes show a slightly flared profile due to the imperfectly collimated etching ions. Alignment: A direct method of alignment is being developed which uses the ion beam induced fluorescence of Si02 marks. Fluorescence yield is characterized as a function of ion energy and resist coating thickness. The yield for Si02 is in the range between 0.1-1.0 photons/proton, while the yields for Si, Al, and photoresist are negligibly small. Thus, a simple alignment technique can be implemented where registration of a grating in the mask with a corresponding oxide pattern is detected as a fluorescence maximum. A simple model predicts that 50 nm alignment can be accomplished, following a 1 im prealignment, in 2 seconds.
Focused ion-beam modification and patterning of high-Tc superconductors
Lloyd R. Harriott, P. A. Polakos, C. E. Rice, et al.
High-resolution patterning of thin-film high temperature superconductors is necessary in the development of device applications of these new materials. Such fine-line structures are necessary in the fabrication of devices such as superconducting quantum interference devices (SQUIDs), transmission lines and others. Furthermore, high spatial resolution patterning can be an important tool in studying the fundamental properties of the films themselves. Focused ion beam patterning has recently been applied to YBaCuO thin films. The finely focused ion beam can produce patterns by local physical sputtering or through implant damage. These techniques have proven to be valuable for producing submicron superconducting structures in a reliable way. This paper describes the method of modification and patterning of high T thin films by focused ion beam implantation damage. For low ion doses, the film properties such as critical current and transition temperature are reduced monotonically with dose. At higher doses, the material is rendered non-superconducting locally. We show that micron-sized superconducting structures can be fabricated by this very simple one-step technique at ion doses in the range of 1012 to iO"1 ions/cm2 with a 300 keY Si beam.
Gate oxide breakdown by focused ion-beam irradiation
Yuichi Nakashima, Hiroaki Morimoto, Hirohisa Yamamoto, et al.
Dielectric breakdown of gate oxide by the focused iou beam (FIB) irradiation of the MOS structure has been studied. The sample device structure was that of an MOS transistor about to be ion-implanted in the source and drain (S/D) regions. The gate poly-silicon electrode was extended to the pad electrode on the thick field oxide. The thicknesses of the gate and field oxide layers were 25 iim and 500 mu, respectively. That of the poly-silicon layer was 250 nm. The typical areas of the gate and the pad electrodes were 31-100 jzm2 aiid 2-4x iO pm2, respectively. Gallium FIB, accelerated by 40 keV, was irradiated to sample devices. The total dose until the breakdowii depends on the irradiated location of the device. In the case that the edge of the polysilicon layer adjacent to the S/D regions is irradiated, the dose is the least. If the gate oxide layer has not been removed on the S/D regions, the dose exceeds that for the sample with the gate oxide layer etched there, although not greater than that in the case that any other part of the poly-silicon layer is irradiated. The electroluminescence measurement of some samples indicates that such edge irradiation iiiduces damages at a spot on the irradiated edge of the gate oxide. Such damages are probably due to the combiiiation of conductive microbridge formation and the electrical dielectric breakdown around the bridge. The microbridge is formed by the implantation of gallium ions, and/or adhesion of gallium and silicon atonis at the sidewall of the gate oxide. In the experiments where an area around the center of the polysilicon pad electrode was irradiated, two breakdown modes were observed: breakdown due to gate-culTent stress and instant breakdown. The gate potential during the FIB irradiation was obtained from the measured secondary electron current. The potential is approximately equal to that measured during the conventional constant-current-stress (005) lifetime experiment. This proves that gate oxide is stressed by current during irradiation. The time to breakdown becomes shorter with decrease in the gate area if the FIB current is the same. The smaller the devise becomes, the 005-like mode will be the more serious. Instant breakdown mode appears when the beam current is high. Detailed analysis reveals discrepancies between the phenomena and simple conjectures based on the 005 lifetime. By the irradiation, some samples are broken in far shorter time than expected from the 005 lifetime measurement, whereas the rest of the samples survive longer than the expectation. This suggests that there is a stronger stress at the onset of the irradiation. This breakdown mode is, at least at present, uncontrollable and most hazardous, to be overcome for improved
KeV ion-beam-exposed PMIPK and PPIPK
Wen-An Loong, Nien-tsu Peng
The exposure characteristics of polymethyl isopropenylketone(PMIPK) and polyphenyl - isopropenylketone(PPIPK) exposed to 40 and 80 KeV H+ and B+ ion-beam have been studied. It is believed that the electron rich phenyl group in PPIPK has increased stopping power to bigger B ion, but not to smaller H+ ion. Linear relationship is found with simulation parameter A as a function of exposure dose (KeV x ion fluence) for specific ion and polymer within the used energy range. Gel permeation chromatography also indicates that the main chain scissioning of PMIPK is faster than that of PPIPK when they are exposed to B+ under same exposure conditions.
X-Ray Lithography I
icon_mobile_dropdown
Comparison of projection and proximity printings--from UV to x ray
Projection and proximity printings are compared in terms of linewidth tolerance. Computer simulated exposure-defocus and gap-exposure diagrams are used to characterize the two techniques respectively. The depth of focus for projection printing in terms of the universal depth parameter k2 is evaluated as a function of the universal width parameter k1, for five long and short representative lithographic features, then three long features. Similarly, the depth of focus and working distance for proximity printing are evaluated for a O.25-jm system in x-ray and 2.5-,um system in uv and some preliminary comparison to experimental results is shown. The image contours and depth of focus of the two imaging techniques are compared. Proximity effects are present for both techniques. The distinction of working distance and depth of focus for proximity printing is observed for the first time. Against intuition, a shorter mask-to-wafer gap is not always better.
Electron-Beam and X-Ray Resist Technology
icon_mobile_dropdown
Soft x-ray resist characterization: studies with a laser plasma x-ray source
Glenn D. Kubiak, Duane A. Outka, John M. Zeigler
Little work has been performed to characterize the exposure sensitivity, contrast, and tone of candidate resists for photon energies between 100-300 eV, the range in which projection soft x-ray lithography will be developed. We report here the characterization of near-edge x-ray absorption fine structure (NEXAFS) spectra, exposure sensitivity, contrast, and post-exposure processing of selected polysilane resists at photon energies close to the Si L2,3 absorption edge (100 eV). We find absorption resonance features in the NEXAFS spectra which we assign to excitation into Si-Si and Si-C *orbitals. Using monochromatized XUV exposures on the Si-Si cs* resonance at 105 eV, followed by solvent dissolution development, we have measured the exposure sensitivity curves of these resists. We find sensitivities in the range of 600-3000 mJ/cm2 and contrasts in the range from 0.5 - 1.4, depending on the polysilane side chain. We have also performed exposure sensitivity measurements at 92 eV, below the edge. Sensitivity decreases slightly compared to 105 eV exposures and the saturation depth and contrast both increase, as expected. We find also that exposing resist films to oxygen after XUV exposure, but before development, increases the sensitivity markedly.
X-Ray Lithography I
icon_mobile_dropdown
Defect printability for soft x-ray microlithography
Darryl Peters, Bernard J. Dardzinski, Robert D. Frankel
The comment that typical clean room 'soft' defects (i.e., airborne, carbon-based particles) are transparent to x-rays is stated as a fundamental attribute of x-ray lithography. However, data showing lithographic conditions which result in a reduction in defect density have not yet been widely published. This paper reports an analysis of defect printability for soft x-ray lithography at wavelengths of 0.6 to 2.2nm and denotes exposure and resist development conditions under which representative soft and 'hard' reticle defects did not print. Resolution reticles with features down to 0.5pm were produced using Hampshire's baseline process which consists of electroplated gold absorber on a silicon support membrane. Latex spheres ranging in diameter from 0.36 to l.Opm were applied by VLSI Standards, Inc. to simulate soft defects. The large exposure latitude for x-ray lithography allows using an overexposure to reduce the impact of lower contrast defects without appreciable CD change. The printability of soft defects and defect-induced wall angle perturbations in resist patterns were also investigated through simulations using a modified version of SAMPLE and the results agreed with the observations. Identification of what constitutes a printable reticle defect for x-ray lithography is not as straightforward as that for optical lithography.
Target x-ray source lithography and photolithography mixed and match system
Shuzo Hattori, Shinzo Morita, Akihiro Yoshida, et al.
Target X-ray source lithography and photo lithography mixed and match system was proposed for an effective lithography system. The key technology for the system is a projection moire alignment, whose marks are used for both photo and X-ray lithography. In order to realize the systeimi, related technologies and apparatus are developing, which are photo and X-ray stepper, Xray source of radiation cooled slotted Pd target, X-ray mask and X-ray mask inspection system.
Electron storage rings as x-ray lithography sources: an overview
James B. Murphy
Synchrotron radiation from dipole magnets in electron storage rings has emerged as a useful source of x-rays for lithography. To meet the need for these sources numerous groups around the world have embarked on projects to design and construct storage rings for x-ray lithography. Both conventional electromagnets as well as superconducting (SC) dipoles have been incorporated into the various designs. An overview of the worldwide effort to produce commercial xray sources will be presented. To better illustrate the elements involved in these sources a closer examination of the Superconducting X-ray Lithography Source Project (SXLS) at BNL will be presented.
Helios: a compact superconducting x-ray source for production lithography
David E. Andrews, M. N. Wilson, Alistair I.C. Smith, et al.
Synchrotron radiation from electron storage rings has the unique capacity to provide the several kilowatts of continuous X-ray power necessary for production scale X-ray lithography-. While national laboratories have developed electron storage ring technology to an exact science and can provide X-ray beams of an intensity and quality appropriate for lithography, they have not built machines optimized for lithography and designed to be replicated in semiconductor fabrication facilities. Since 1983, Oxford Instruments have been working on the development of a practical synchrotron X-ray source, called Helios. Final system tests will commence this spring on the initial Helios compact synchrotron.
ALF: a facility for x-ray lithography
L. Grant Lesoine, Kenneth W. Kukkonen, Jeffrey A. Leavey
In the previous paper, you heard a description of the electron storage ring system that IBM has ordered for X-ray Lithography. In this paper we shall describe the facility that is being constructed for the ESR and explain some of the decisions made in its design. The facility, which we have dubbed ALF for Advanced Lithographic Facility, is a part of the $O.5B Advanced Semiconductor Technology Center now being occupied at IBM's East Fishkill, New York semiconductor plant. Ground was broken in October of 1988 and the ALF building is expected to be ready for occupancy in July of this year. At that time, initial preparations for installation of the ESR and the lithographic tooling will begin. Bechtel National of San Francisco is the Design-Build contractor. As you may have concluded from Dave Andrew's paper, an ESR and its associated cryogenic, cooling, power and control systems is a complex tool which requires specialized support. In addition, the facility must support the vibration control and clean room requirements of submicron lithographic processing. Also, significant concerns of safety and security must be met. We will now describe our solutions to these requirements.
Optimizing a synchrotron based x-ray lithography system for IC manufacturing
Stephen Kovacs, Kenneth Speiser, Winston Thaw, et al.
The electron storage ring is a realistic solution as a radiation source for production grade, industrial X-ray lithography system. Today several large scale plans are in motion to design and implement synchrotron storage rings of different types for this purpose in the USA and abroad. Most of the scientific and technological problems related to the physics, design and manufacturing engineering, and commissioning of these systems for microlithography have been resolved or are under extensive study. However, investigation on issues connected to application of Synchrotron Orbit Radiation (SOR ) in chip production environment has been somewhat neglected. In this paper we have filled this gap pointing out direct effects of some basic synchrotron design parameters and associated subsystems (injector, X-ray beam line) on the operation and cost of lithography in production. The following factors were considered: synchrotron configuration, injection energy, beam intensity variability, number of beam lines and wafer exposure concept. A cost model has been worked out and applied to three different X-ray Lithography Source (XLS) systems. The results of these applications are compared and conclusions drawn.
Electron-Beam Lithography
icon_mobile_dropdown
Electron-beam lithography: directions in direct write and mask making
Fritz J. Hohn
Two major categories of electron beam lithography systems have been developed over the course of many years: Direct write of chips on silicon, and patterning of optical masks on quartz and glass substrates. This paper addresses this dual role and what it implies for the different classes of electron beam lithography tools. In direct write, with dimensions at and below 0.25 m, tool resolution, accuracy in registration and overlay, process integration, and other factors are of critical importance. Most recent advances in this area will be described. Current work on device fabrication below 0.25 ,um demonstrates the capability to integrate all sectors of electron beam lithography to provide early research work for ULSI device technology demonstration. These dimensions are necessary for the fabrication of 256 MB DRAM chips, for example. For mask making it is widely ackowledged that much of the learning from direct write electron beam lithography tools becomes applicable to mask patterning as well. However, more stringent requirements are burdened in particular on x - y stage accuracy and long term overall systems stability.
Chip cluster registration technique for increased throughput in e-beam direct writing
John F. Bass, Michael J. Butler
The use of E-beam lithography for direct writing GaAs device levels is a widely accepted technique in the manufacture of discrete PET and MMICs. Throughput in these applications is a function of wafer size, number of chips, resist sensitivity, available beam current, pattern density and the inherent tool overheads of stage movement and registration time. Of these, chip registration can be the single most time consuming component. Techniques have been developed that minimize the writing time while maintaining the required level and pattern accuracy. These techniques include using multiple beam currents and multiple field sizes for high and low resolution areas and using optical exposure of less critical areas in a mixed lithography scheme. In this paper we present a technique of cluster registration that reduces registration time by as much as 75 percent. Resultant data illustrate no loss of line width control or placement accuracy utilizing this procedure. We describe software techniques and procedures that provide automatic creation of job control files and system executive control files yielding full turn key operation of a Cambridge EBMF 10.5 E-beam pattern generator. In addition, we discuss the use of this lithography tool for automated line width metrology of the resultant lifted off gate.
Neural network approach to proximity effect corrections in electron-beam lithography
The proximity effect, caused by electron beam backscattering during resist exposure, is an important concern in writing submicron features. It can be compensated by appropriate local changes in the incident beam dose, but computation of the optimal correction usually requires a prohibitively long time. We present an example of such a computation on a small test pattern, which we performed by an iterative method. We then used this solution as a training set for an adaptive neural network. After training, the network computed the same correction as the iterative method, but in a much shorter time. Correcting the image with a software based neural network resulted in a decrease in the computation time by a factor of 30, and a hardware based network enhanced the computation speed by more than a factor of 1000. Both methods had an acceptably small error of 0.5% compared to the results of the iterative computation. Additionally, we verified that the neural network correctly generalized the solution of the problem to include patterns not contained in its training set.
Charge-up prevention process for e-beam direct writing with multilayer resist
Yoji Tono-oka, Kazuyuki Sakamoto, Toshiyuki Honda, et al.
it is essential to avoid charging effects in E-beam direct writing for the fabrication of deep-sub-micron devices. It has been found that a spin-coated surfactant layer over the imaging resist can avoid charging effects. Application of this method to multilayer resist process is presented. With optimum surfactant layer thickness. there is no influence of surfactant layer on resist sensitivity or resolution. The surfactant layer can be removed easily in imaging resist development p r o c e In the case of tn-level resist with a 2.4pm overall thickness. registration error was reduced from I. 0 jim to 0. 1 um( isv. i +26) by adding a 0. l2,um-thick surfactant layer. Quarter micron patterns with good profiles were achieved using CMS-EX(R) as the imaging layer.
Vacuum passivated T-gates: a new method for fabricating submicron gates
Donald K. Atwood
This paper will outline a novel technique for fabricating T-gates. Although dependent upon two lithographic exposures, this process is more robust and flexible than existing techniques. The resultant gates are structurally stable, with electrical resistance that can be made arbitrarily small by increasing the size of the T-gate top. Moreover, this gate process is fully compatible with existing MESFET and HEMT fabrication methods. Performance of microwave devices will be presented, contrasting 0.25 tm conventional gates with vacuum passivated T-gates. The T-gates will be shown to offer superior breakdown and gain performance and to produce FET characteristics which remain stable from gate level to final frontside.
E-beam direct write of rectangular and mushroom gates for GaAs FETs
Zoilo C. H. Tan, Scott E. Silverman
Electron-beam lithography was used to fabricate half-micron, quarter-micron and sub-quartermicron gates for MMIC applications. Both rectangular and mushroom gates were used. This paper describes our experience in the fabrication of various gates, with emphasis on obtaining good reproducibility and high yield. For optimum utilization of machine time, the operating condition of the exposure system was maintained at 30 kV and 1 .6-mm field size for most applications. In the case of extremely fine gates of 0. 1 .tm or less, the accelerating voltage used was 40 kV. Gates with excellent reproducibility and yield of □ 90% were obtained.
X-Ray Lithography II
icon_mobile_dropdown
Modeling of thermal stresses and distortions in x-ray masks employing the embedded absorber structure
Nadim I. Maluf, Stephen Y. Chou, Roger Fabian W. Pease
The distortions that arise from thermal stresses in X-ray masks which employ the embedded absorber structure are modelled and analyzed. By using a quasi two-dimensional model, both in-plane and out-of-plane distortions were characterized and their dependence on the fractional absorber coverage was calculated. These distortions were found to be large when the absorber was initially deposited at a high temperature; however they can be greatly reduced by adding a buffer layer between the absorber and membrane. The Young's modulus and the linear expansion coefficient of this buffer layer are chosen such that the mask distortions are compensated for. Without the buffer layer, the shear and peeling stresses at the absorber-membrane interface were found to increase exponentially with distance near the absorber edges and may cause fatigue. These results were found in agreement with computer simulations.
75-mm diameter diamond x-ray membrane
Henry Windischmann
Diamond is well suited as an x-ray mask material because of its high x-ray and visible radiation transparency and exceptionally high mechanical stiffness. This paper presents the optical, x-ray and morphological properties of a diamond membranes produced on 100mm diameter silicon wafers. Microwave Plasma Chemical Vapor Deposition was used to deposit diamond films on 1 00 mm diameter silicon then back-etching the substrate to form taut 75mm diameter free standing membranes. Raman spectroscopy and x ray diffraction indicates that the films are diamond. X ray diffraction reveals that the films are fine-grained polycrystalline diamond with a grain size of about 20-30 nm and a [220] growth texture. The films contain microcrystalline graphite and non-carbon diamond as indicated by the broad bands at 1355 and 1560 cm, respectively. The FWHM ofthe main diamond peak is relatively broad,8-1 2 cm , indicating a small crystallite domain and strained microstructure, consistent with the x ray diffraction data. The measured x-ray transparency of a 3.25M thick membrane at the Cu Ka line is 99.5% while the optical transparency at the He-Ne laser line is 45 %, uncorrected for reflection losses from both surfaces, absorption and scatter. The transmission in the IR is at the theoretical limit, 71%. The surface roughness, measured with a sub-micron stylus type profilometer, is about 30 nm rms on the side away from the silicon substrate and 20 nm on the side adjacent to the substrate. The stress in the films is tensile with a value of 0.1 1 GPa. The films contain less than 1% hydrogen as determined by nuclear reaction analysis.
Control of optical and mechanical properties of polycrystalline silicon membranes for x-ray masks
Lee E. Trimble, George K. Celler, John Frackoviak
We report improvements in optical and mechanical properties of x-ray lithography masks based on polycrystalline Si membranes. The optical transmittance of polycrystalline Si was increased to match that of single crystalline Si in 0.6 to 0.9 j.tm spectral range. This was accomplished by modifying deposition temperature and gas composition during LPCVD film growth. The new films are intrinsically tensile, allowing formation of taut membranes on crystalline Si substrates and on glass disks that are matched in thermal expansion to Si, in contrast to earlier polysilicon films which required thermal expansion mismatch. The mechanical integrity of the masks was further improved by direct deposition of Si on thick machined glass disks, thus eliminating bonding of thin substrates to rigid support rings. The monolithic mask blanks are considerably more flat than the bonded structures, either single- or polycrystalline.
X-ray absorbing and mechanical properties of Au-C film for x-ray mask absorber
Hiroshi Fukushima, Hitomi Yamada, Teruyuki Matsui, et al.
The X-ray absorption and mechanical properties of gold containing (Au-C) absorber formed on polyimide membrane for X-ray lithography mask have been investigated. The Au-C films were deposited by the same method as the previous investigators(Itoh et al.)1. (1) The compositional dependence of film stress before and after the exposure to SOR radiation has been observed. As a result, the stress in film changed monotonically ranging from compression (-3xlO8N/m2) to tension (l.2xlO8N/m2) with increasing Au content. Zero film stress was observed at Au atomic composition of 30%. Films originally being compressive (-l.5xlO7N/m2) were found to become tensile (l.OxlO8N/m2) after absorbing l500J/cm3 X-ray. This tendency differs from that of the BN film observed by King et al (2) X-ray absorption ratio in Au-C films were determined by measuring the amount of reduced thickness of resist on development after the exposure of X-ray through Au-C films with various composition ratios. It was found that it tended to fall from 92% to 68% with a decrease of Au atomic composition ratio from 0.85 to 0.11 at the constant absorber thickness of 0.5 pm. (3) Au-C films of various atomic composition ratio were patterned with EB lithography using the PMMA(Polyrnethylmethacrylate) resist and Reactive Ion Etching (RIE) with 02 gas. It was found that the etching rate of the film decreases with increasing Au content. The typical obtained value was approximately 100A/min at around 50% to 60% of Au composition and this was about 4 times higher than etching rate of pure Au. It is concluded that the Au-C absorber on polyimide membrane X-ray mask is practical for VLSI use for submicron pattern fabrication.
Additional Paper
icon_mobile_dropdown
Advanced chemically amplified resist
Hideki Takahashi, Kenichi Wakui, Daniel J.C. Herr, et al.
This paper describes a set of empirical correlations between bulk resist properties and fine line iniaging quality in an Advanced Negative e-beam Resist (ANR) . At the on set of this study, it was not clear whether bulk properties, such as contrast, could be used to predict image quality. Consequently, several definitions of contrast have been examined and compared with imaged structures at four unique processes. These processes were selected based on the results of a modified Taguchi1 L9 experimental design. The slope of the line intersecting the thickness response curve at zero percent and ninety percent retention provides the best correlation with image quality. This bulk parameter is recommended as a suitable nionitor for sub-half micron e-beam ANR image quality in 2.38% TMAH.
Electron-Beam Lithography
icon_mobile_dropdown
Modified maleic anhydride copolymers as e-beam resists
Sandeep Malhotra, Bernard C. Dems, Yarrow M. N. Namaste, et al.
Copolymers of maleic anhydride with styrene, ethylene, and methyl vinyl ether were modified and evaluated for use as negative working resist materials in electron beam lithography. The copolymers used were modified by reaction with an organometallic compound, which resulted in incorporation of tin in the pendant chains of the copolymers. The polymers were blended with a reactive plasticizer, dipentaerythritol pentaacrylate (DPEPA), to enhance sensitivity and to improve resolution. The esterified copolymer of styrene and maleic anhydride was fractionated to obtain fractions with a range of molecular weights and dispersities. The fractions obtained were used to determine effects of molecular weight and dispersity of the base polymer on sensitivity and contrast of the resist. The lithographic response of the modified copolymers was compared with that of the original unmodified base copolymer. Incorporation of tin into the copolymer resulted in an increase in sensitivity to electron beam. It also improved the dimensional stability of the resist material. There was a dramatic increase in oxygen reactive ion resistance by incorporation of tin, which was a result of formation of an etch-resistant passivating barrier. The etch resistance of the tin modified copolymer containing approximately 20 weight % tin was about twenty fold higher than that of poly(methyl methacrylate) under identical etching conditions. Blending the polymer with dipentaerythritol pentaacrylate resulted in a twenty fold increase in electron beam sensitivity over the base copolymer. Tin modified polymers blended with this plasticizer yielded sensitivities in the range of 0.2 to 1 .5tC/cm2. Fractions of copolymer obtained were blended with DPEPA and evaluated for lithographic properties. Increase in molecular weight of the base polymer results in increase in sensitivity of the resist. Monodisperse polymers yielded higher contrast and better resolution. The effect of molecular weight of base copolymer on contrast was determined independent of molecular weight distribution.
Electron-Beam and X-Ray Resist Technology
icon_mobile_dropdown
PRIME process: an alternative to multiple layer resist systems and high accelerating voltage for e-beam lithography
Serge V. Tedesco, Christophe Pierrat, Francoise Vinet, et al.
A new positive working system for e-beam lithography, called PRIME (Positive Resist IMage by dry Etching) is proposed. High contrast (about 6) and resolution 75 nm L/S in O.351um thick resist are achieved. Very steep profiles can be obtai- ned on thick resist even at low accelerating voltage as O.2pm hole in l.2pm thick resist at 20 keV. To be able to quantify both intra and inter proximity effect on positive tone resist specific two layers electric tests chips were designed. Then PRIME process has been compared, in terms of proximity effects magnitude, at 20kV and 50 kV, to RAY-PF resist show- ing clearly advantages over such three components novolac ba- sed positive resist.
System-9: a new positive tone novalac-based high-resolution electron-beam resist
Asanga H. Perera, J. Peter Krusius
The performance of a new positive tone novalac-based resist, SYSTEM-9, has been characterized on a Cambridge Instruments EBMF-1O.5 electron beam lithography tool. Resist characteristics and the optimum processing conditions for a variety of commercially available developers have been investigated. This resist yields relative contrasts of up to 14, sensitivities from 19 to 32 (jtC/cm2) and a good resolution of 0.2 jim. SYSTEM-9 has excellent dry etch resistance. Outstanding applications in submicron micro-electronic device fabrication are demonstrated.
Poster Session: Beam Lithography
icon_mobile_dropdown
Projection moire alignment technique for mix and match lithographic system
Dai Sugimoto, Seiichiro Kimura, Masami Eishima, et al.
Projection moire alignment is a highly useful technique for mix and match lithographic system. The same alignment marks are used for both photo and X-ray photolithography and high sensitivity is obtained using simple alignment optics. This paper deals with a projection moire alignment system which applies to a g-line or i-line stepper that is part of a mix and match lithographic system. A He-Ne laser is used as the light for alignment. By using two pairs of gratings which are 1/2 grating pitch out of phase with each other, we obtain two moire signals which are also 1/2 grating pitch out of phase. Using difference of these two moire signals, high sensitivity is obtained. In order to achieve high overlay accuracy in automatic alignment, it is important to consider the shape of the alignment marks. Asymmetrical resist coverage caused by spin coating causes an offset error and in case of aluminum coated wafers, a rough surface causes a random error. Some defects in the gratings caused during etching process also produce error. For the purpose of analyzing some effects of alignment marks on projection moire alignment, we calculated alignment error using Fresnel diffraction integral. We obtained the following results. An aberration method using reflected light from wafer marks is useful for rough surfaces. Defects in a grating are eliminated by average effects. And we calculated an alignment error caused by wafer tilt. By tilting 5 second to 10 second, output waves become asymmetry and contrast is reduced. Tilt of 5 sec. makes an alignment error of about 0.03 tm.
Metrology for gold absorber/silicon membrane x-ray reticles
Bernard J. Dardzinski, Robert Allen Grant, Daniel D. Ball
SEM metrology is used to characterizecritical dimension (CD)controlandprocess bias in x-ray reticle fabrication. The pattern transfer bias between the single layer resist stencil and'the electroplated absorber is investigated. This bias includes the resist sidewall angle, the accuracy of the SEM edge detection algorithm, and the wet chemical etchants used to delineate the absorberpattern. It is a well known fact that the resist geometry plays an importantrole in producing the final absorber structure. Thispaperexplores theeffects ofresistprofilesand subsequentprocessing steps on critical dimension control of the absorber layer.
Development of radiation-cooled slotted rotating target x-ray source (III)
Motomu Asano, Takashi Tagawa, Hideshi Yoshikawa, et al.
The design concept on X-ray lithography system using the X-ray source with an ebeam excited rotating target has been presented in the previous reportsl The X-ray source is developed to fit the laboratory and test productive use for the microlithography applied to O.25iim feature size. The e-beam focus diameter of 3mm at the power of 3kW to generate characteristic X-ray of 4.4A(Pd:Lc) wave length has been recently obtained, though it is not satisfactory as compared with the desired value of 1mm at the power of 10kW. The fundamental data for this experiment such as the vibration severity with the rotation of cooling fins, the temperature rise of the target and the maximum voltage of e-beam without a discharge are discussed. These show that the system will satisfy a stable structure and cooling capacity enough to step up the e-beam power and to reduce the spot size of e-beam.
X-Ray Lithography I
icon_mobile_dropdown
20:1 projection soft x-ray lithography using trilevel resist
Tanya E. Jewell, M. M. Becker, John E. Bjorkholm, et al.
We demonstrate nearly diffraction limited printing using soft x-ray radiation of approximately 36 and 14 nm wavelength. As an imaging system we used a-20X-reduction Schwarzschild-type objective with iridium coated mirrors for use at 36 nm and Mo/Si multilayer coated mirrors for use at 14 nm. An off-axis aperture and illumination were used to eliminate the central obscuration in the imaging system caused by the primary mirror. Two types of masks were used for exposures: an open-stencil one for 36 nm and a silicon membrane with a Ge absorber for 14 nm. The high absorption of carbonbased polymers at these wavelengths requires that imaging resist be very thin. Thin resist layers are not robust and, by themselves, not very useful for processing. By incorporating them into a tn-level resist, however, we have exposed, developed, and transferred features as small as 0.1 jm into silicon.
Ion-Beam Lithography
icon_mobile_dropdown
Focused ion-beam-assisted deposition of tungsten
Yuichi Madokoro, Tsuyoshi Ohnishi, Tohru Ishitani
Formation of fine conductive layer patterns by focused ion beam ( FIB ) using tungsten hexacarbonyl [W(CO)6] has been carried out to study its deposition mechanism. The effects of beam current density on the deposition rate, using a chamber-type gas delivery system and a nozzle-type system has been investigated and compared. It is found that the amount of dependence of deposition yield on current density differs between the two systems. In addition, the difference in gas pressures of the two systems cause different compositions of the deposits.