Proceedings Volume 1262

Advances in Resist Technology and Processing VII

cover
Proceedings Volume 1262

Advances in Resist Technology and Processing VII

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 1 June 1990
Contents: 5 Sessions, 54 Papers, 0 Presentations
Conference: Microlithography '90 1990
Volume Number: 1262

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Acid Catalyzed Systems
  • Poster Session: Resist Technology
  • Acid Catalyzed Systems
  • Poster Session: Resist Technology
  • Acid Catalyzed Systems
  • Dry Develop
  • Poster Session: Resist Technology
  • Dry Develop
  • Poster Session: Resist Technology
  • Dry Develop
  • Diazo Materials
  • New Materials
  • Poster Session: Resist Technology
  • New Materials
  • Poster Session: Resist Technology
  • Dry Develop
  • Poster Session: Resist Technology
  • Dry Develop
  • Diazo Materials
  • New Materials
  • Acid Catalyzed Systems
  • Poster Session: Resist Technology
  • Acid Catalyzed Systems
  • Poster Session: Resist Technology
Acid Catalyzed Systems
icon_mobile_dropdown
Aqueous base developable novel deep-UV resist for KrF excimer laser lithography
Makoto Murata, Toshihiko Takahashi, Mitsunobu Koshiba, et al.
A novel deep-UV resist which consists of poly(p-trimethylsilyloxystyrene) and pnitrobenzyl- 9,10-diethoxyanthracene-2-sulfonate showed capability of resolving 0.3 im lines and spaces with steep sidewalls at 0.8 im thickness by use of a KrF excimer laser stepper. Wet development in a conventional tetramethylammonium hydroxide developer caused no critical thickness loss in the unexposed area. Owing to its oxygen plasma durability, this resist was shown to work as a top layer of a bi-layer resist.
Poster Session: Resist Technology
icon_mobile_dropdown
Sensitivity enhancers for chemically amplified resists
William R. Brunsvold, Ranee W. Kwong, Warren Montgomery, et al.
The addition of phenolic compounds to positive tone chemically amplified resists has increased sensitivity by approximately 2X for Deep UV exposures and up to 5Xfor X-ray imaging. Sensitivity enhancement during e-heam exposures was only 20%. Additives like hydroquinone sensitize various acid generators including triphenyl sulfonium triflate (TPS) and N-tosyloxyphthalimide (PTS) without affecting contrast and image profiles. The sensitization occurs in poly(t-butyloxycarbonyloxystyrene) as well as in base soluble resins. With PTS, the predominant mechanism is believed to involve electron transfer from the excited singlet or triplet state of the additive to the acid generator. For onium salt, direct photolysis plays a significant role in acid generation so that the effect of the additives is not as great as with PTS.
Acid Catalyzed Systems
icon_mobile_dropdown
Chemical amplification negative resist systems composed of novolac, silanols, and acid generators
Takumi Ueno, Hiroshi Shiraishi, Nobuaki Hayashi, et al.
Negative chemical amplification resist systems composed of Novolak resin, a silanol compound, and an acid generator are investigated as deep UV resists. The acid produced in the exposed area induces the condensation reaction of silanol compounds during post-exposure baking. The condensation reaction products, siloxanes, act as an aqueous-base dissolution inhibitor, while silanol compounds in unexposed area work as aqueous base dissolution promoters. The resist composed of Novolak resin, diphenylsilanediol, and triphenylsulfonium triflate shows quite high sensitivity (-.-lmJ/cm2). Using this resist, O.3pm line-and-space patterns were obtained by KrF excimer laser stepper with 3mJ/cm2 dose.
Poster Session: Resist Technology
icon_mobile_dropdown
Investigation of the exposure and bake of a positive acting resist with chemical amplification
Richard A. Ferguson, Chris A. Spence, Elsa Reichmanis, et al.
The exposure and post-exposure bake of a chemical amplification resist that relies on the acid catalyzed removal of a tert-butyloxycarbonyl (t-BOC) group have been investigated. The effects of the acid generator on the production of acid during exposure and the extent of deprotection during the bake were examined by using different weight percent mixtures of both a 2,6 dinitrobenzyl tosylate and a triarylsulfonium salt. The generation of acid was monitored by measuring the resist transmission during exposure. The decrease during exposure of the nitro group absorbance at 1540 cm' In the FFIR spectrum of the tosylate was correlated with the transmission measurements. The experimental results were used to determine absorption coefficients and acid generation rate constants for both acid generators. The extent of deprotection that occurred during the bake was determined by monitoring the characteristic FTIR absorbance band at 1760 cm1 over a range of exposure doses, bake temperatures, and bake times. The extent of deprotection was related to the local acid concentration generated during exposure through chemical reaction kinetics. The model for the resist with the tosylate consisted of a primary deprotection reaction where the rate of deprotection was proportional to the acid concentration to the mth power (m > 1). For the resist with the onium salt, an additional acid loss reaction was required to account for saturation of the deprotection reaction with increasing bake time. The resist with the onium salt was less sensitive to the bake conditions in comparison to the resist with the tosylate. Improved resist performance during the bake was obtained when using higher loadings of both the tosylate and the onium salt acid generators.
Acid Catalyzed Systems
icon_mobile_dropdown
Negative tone aqueous developable resist for photon, electron, and x-ray lithography
Will Conley, Wayne M. Moreau, Stanley Perreault, et al.
The use of negative acting photoresists has become a integral part of device fabrication strategy. In this paper we will. discuss a phenolic based photoresist which incorporates a crosslinkable resin and an acid generating sensitizer. When exposed and thermally treated, the resist forms a negative tone image which is developable in an alkaline medium. We will discuss the materials, processes and results from photon, electron and X-ray lithographic evaluations.
Systematic investigation of the photoresponse and dissolution characteristics of an acid-hardening resist
Siddhartha Das, James W. Thackeray, Masayuki Endo, et al.
Acid hardening resists (AHR) which rely on chemical amplification schemes for increased photospeed arefinding wide acceptance as a viable approach to deep UV lithography. The understanding of the underlying acid-catalyzed chemistry and the processing protocol necessary to exploit the advantages of these resists is a subject of active interest in several laboratories. The thermal response of acid-hardening resists has been quantified in a lumped parameter model proposed in an earlier study [ 1]. In the current paper, we report on recent results obtained with a commercial AHR. We have investigated the processing latitude and the resist profile sensitivity as a function of postexposure bake and development conditions under normalized dose conditions predicted by our model. The mean free path of the acid has been estimated to ?e less than 70 A. The resist-induced linewidth bias has been correlated to the conjugate point of the aerial image over a wide range of processing conditions. Since acid diffusion is restricted by theformation of a crosslinked ne'tWork, the ability to manipulate the latent image as measured by the isofocal region of the resist has been investigated. This assumes importance in cases where the process needs to be optimized to obtain a desired bias. Since the exposure and development conditions are not as strongly coupled in acid hardening resists, the potential exists to optimize the development process independently and suitable parameters have been defined for this step.
1X deep-UV lithography with chemical amplification for 1-micron DRAM production
John G. Maltabes, Steven J. Holmes, James R. Morrow, et al.
This paper describes methods used and results obtained in the production of 1-megabit (Mb) DRAM chips, using a chemically amplified tertiary-butoxy carhonyl hydroxystyrene (t-BOC) resist and 1X lithography. 'Flie internally developed resist provided high sensitivity and contrast, for I rn resolution on a Perkin Elmer Micralign model 500 (PE 500) in the deep UV. Characterization, and modification of the PE 500 were required for this first application in the deep UV. The manufacturing process had photo limited yield in excess of 95% with throughput of 100 wafers per hour.
Dry Develop
icon_mobile_dropdown
Silicon diffusion characteristics of different surface-imaging resists
George R. Misium, Monte A. Douglas, Cesar M. Garza Sr., et al.
This paper describes a study of the silylation characteristics of different resists that are suitable for single-layer, surface-imaging patterning applications. In particular, the effect of different process parameters on the silicon diffusion in UCB's Plasmask®resist is discussed. The diffusion profile of silicon in the resist is decorated by a staining technique followed by SEM analysis. This allows for two-dimensional resolution of the diffusion profiles and the observation of other process attributes. Links are established among exposure, silylation and etch by observing silylated profiles. It is shown that the silylation profile characteristics are dominated by the resist image created during exposure. Also, the effects of post-exposure bake and silylating agent temperature are presented. Diffusion profiles for MacDermid's PR1024 are also shown.
Benefits and prospects of aqueous silylation for novel dry developable high-resolution resists
Recai Sezi, Michael Sebald, Rainer Leuschner, et al.
The paper presents a novel surface imaging resist, consisting of an anhydride-containing copolymer and a diazoquinone photoactive compound (PAC). As base resin, alternating copolymers of styrene and maleic anhydride were prepared which show benefits such as high glass transition temperature (Tg = 170 °C) or low deep-UV absorbance (0.12/pm at 248 nm), in addition to the simplicity of synthesis with high yields. After imaging exposure, the exposed areas are selectively silylated in a standard puddle development track at room temperature within 90 to 120 s md. rinsing. The silylation is performed with an aqueous solution ofabis-aminosiloxane in water and a dissolution promoter and is accompanied by a film thickness increase, the extent of which depends on several factors such as exposure dose, PAC content in the resist, molecular weight of the base resin, aminosiloxane concentration and silylation time. The resist is developed through reactive ion etching in oxygen plasma, giving negative tone patterns. Lateral structure deformation has not been observed with this system since the resist is silylated far below the Tg of the base resin. The use of suitable 2-diazo-1-naphthalenone-4-sulphonic acid esters as PAC and the absence of crosslinking during deep-UV exposure offer the advantage that the same resist can be applied in the same mode (neg.) for i-line and KrF excimer laser lithography. By this means, lines and spaces down to 0.4 pm and 0.3 pm were achieved in 2 pm thick resist after exposures with an i-line (NA = 0.4) or KrF excimer laser stepper (NA =0.37), respectively.
Effect of mask erosion on process latitudes in bilayer lithography
Charles W. Jurgensen, Anthony E. Novembre, Eric S. G. Shaqfeh
Mask erosion is not significant in tn-layer lithography where the etching mask is Si02; however, mask erosion may significantly affect etching profiles and process latitudes during the pattern transfer step in bi-layer lithography. We discuss the effect of selectivity, wall angle, layer thickness, and etching anisotropy on line-width loss in bilayer lithography. Predictions from the kinetic theory of bombardment induced interface evolution are compared to experimental pattern transfer results for electron beam exposed poly(trimethylsilylmethyl methacrylate-co-m,pchloromethylstyrene). The interface evolution model describes bombardment induced etching by an axisymmetric angular distribution of energetic particles where the yield per incident particle is a function of energy and angle relative to the surface normal. Kinetic theory is used to relate the energy and angular distributions incident on the substrate to the pressure, the voltage drop across the plasma sheath, the sheath thickness, and the cross sections describing the collision processes. The rate controlling step for the organosilicon polymer is assumed to be Si02 sputtering as predicted by the steady-state model of organosilicon polymer etching. The 5i02 sputtering yield is assumed to be a separable function of.energy and angle with a sputtering threshold of 50 eV. The etching yield of the organic planarizing layer is assumed to be proportional to bombardment energy and independent of angle. The angle dependent yields in the upper layer result in a facet that propagates down from the upper edge of the resist profile. This facet has no effect on process latitudes if less then F30% of the upper layer is consumed during the pattern transfer step; however, faceting results in rapid line-width loss if more than 30% of the upper layer is consumed. Under nearly optimized exposure and etching conditions, the experimental line-width loss during pattern transfer was less than 20% of the nominal width for features with nominal dimensions down to 0.25 zm.
Highly etch resistant, negative resist for deep-UV and electron beam lithography
Dennis R. McKean, Nicholas J. Clecak, Lester A. Pederson Sr.
I lighly sensitive resists have been developed from a combination of silsesquioxanes, acid photogenerators, and phenolic resins. The resist forms negative images and is developable with aqueous base. Both electron beam and deep-uv optical sensitivity was observed. The films displayed exceptionally high oxygen reactive ion etch resistance. Bilayer systems were developed with this resist as the imaging layer with either polyimide or hard baked novolac as the planarizing layer. Improved optical resists for single layer application have been obtained by using poly(4-hydroxystyrene) derivatives as the matrix resin.
Poster Session: Resist Technology
icon_mobile_dropdown
Characterization of dry developed processes using silylation application to the PRIME process
Christophe Pierrat, Hubert Bono, Francoise Vinet, et al.
A new positive working system for deep UV lithography, called PRIME (Positive Resist IMage by dry Etching) using silylation and dry development was proposed1. This system is derived from photolithographic DESIRE process. In order to better understand mechanisms involved in the PRIME process, different characterization experiments were carried out. For this purpose an experimental silylation module was built. This module includes an in-situ silylation detection based on resist reflectivity variations during wafers treatment. Reflectivity variations are due to index variation and swelling of the silylated resist. This feature ensures not only a better reproductibiity but also the measurement of kinetics and thermodynamics parameters. In a second part, results obtained with PRIME in deep UV lithography will be discussed. At 248 nm with a mask aligner, 0.2 jun L/S patterns were resolved in 0.7 j.un thick resist. Moreover these patterns were transfered in 0.6 im thick silicon dioxide and 0.3 pm polysilicon. A resolution of 0.25 im lines and spaces in 1.1 jim thick resist was achieved with ASM PAS 5000-70 deep UV stepper *.
Dry Develop
icon_mobile_dropdown
Dry development of the top imaging layer for bilayer system in the down stream of O2/CF4 plasma
Takushi Motoyama, Satoru Mihara, Naomichi Abe
We investigated dry-develop resist as the top layer in a bilayer system. The resist consisted of polyacetylene with silicon atoms, PTMDSO (poly 4,4,7,74etramethyl-4,7-disila- 2-octyne) as a base polymer, and a phenyl azide as a photosensitive addition agent. 0.30 pm line and space negative patterns were resolved, when the resist exposed using a KrF excimer laser stepper system was developed in the down stream of 02/CF4 plasma. The sensitivity was around 100 mJ/cm2 and the oxygen plasma resistance was about 50 times greater than that of novolak resist.
Poster Session: Resist Technology
icon_mobile_dropdown
Silylation of poly (t-BOC) styrene resists: performance and mechanisms
Chris A. Spence, Scott A. MacDonald, Hubert Schlosser
The rate and selectivity of silicon uptake during the silylation of resists consisting of styrene/ para-(t-BOC)- styrene copolymer resins and tri-phenyl sulfonium hexa-fluom-arsenate (onium salt) photosensitizer has been studied. VFIR spectroscopy has been used to monitor the extent of the deprotection reaction that occurs during baking and the silicon uptake during silylation. It has been found that for the co-polymers, silicon diffusion is rapid, and the silicon uptake is directly related to the number of phenolic sites created during the post-exposure (jwesilylation) bake.
Dry Develop
icon_mobile_dropdown
Optimization of resist composition for the DESIRE process
Bruno Roland, Ria Lombaerts, Jan Vandendriessche, et al.
The quality of resist profiles obtained In surface Imaging techniques based on selective diffusion of a silylating agent and subsequent dry development depends on the sificon distribution after silylatlon and the quality of the transfer of this silicon image during dry development. Ideally, the silicon distribution at the pattern edge should show a very abrupt change from low to high silicon incorporation. This so called "silicon contrast" depends upon the composition of the resist materials and the silylatlon conditions. In this paper, we will describe the effect of resist parameters such as sensitiser type and concentration, resin type, molecular weight, molecular weight distribution and hydroxyl group content of the resin on the lithographic characteristics of the resist materials In the DESIRE process.
Diazo Materials
icon_mobile_dropdown
Effect of 2,1,4 DAQ ester chemistry on the behavior of I-line image reversal resists
John J. Grunwald, Chava Gal, Sigalit Eidelman
This paper studies the etiemistry of image reversal (1R) systems based on 2,1,4- diazoquinone substituted trihydroxybenzophenone (THBP) , tetrahydroxybenzophenone (tetra-HBP), p-cumylphenol (PCP) and novolac resins (N). Results are investigated in depth as to their impact on the functional behavior of the IR resist. Both cross-linking and decarboxylation modes are studied , as they relate to energy to clear. It is shown that using cross-linking systems based on the above DAQ esters, a m/p novolac, and a bifunctional cross-linking agent of the type '2 wherein X = OH, the broadband energy required to effect cross-linking decreases in the order of PCP > N > tetra-HBP > THBP. The concentration of the afore- mentioned sensitizers was in all cases equimolar in terms of diazo content. Surprisingly, in decarboxylation IR, the exposure energy required to effect decarboxylation decreases in the order of tetra-HBP > THBP > N > PCP, essentially a complete reversal as compared with cross-linking type IR.
Evaluation of aliphatic 2-diazoketones as photoactive compounds for deep-UV lithography
George Schwartzkopf, Kathleen B. Gabriel, John B. Covington
Aliphatic 2-diazoketones of various structural types were synthesized then screened as photoactive components of deep U V resists Several of the materials were readily photolyzed upon deep U.V. irradiation but also exhibited reasonable thermal stability. Representative resists incorporating these materials gave positive tone with metal ion containing developers and negative tone with metal ion free developers. Resist sensitivity was dependent on the frequency spectrum of the exposing deep U.V. light.
Characteristics of new KrF excimer laser resist
Takeshi Kotani, Mitsuhiko Sano, Kei-ichi Hayashi, et al.
New alkali-soluble polymer which is highly transparent at 248nm were prepared. Alkali-dissolution rate, optical transmission at 248nm and RIE resistance of the polymer were studied. As a sensitizer, diazoketone compounds were newly synthesized and provided an intense bleachable absorbance at 248nm. The new KrF excimer laser positive resist (NEX) composed of the diazoketone as the sensitizer and the maleimide copolymer as the base polymer has been developed.
Novolac design for high-resolution positive photoresist (III): a selection principle of phenolic compounds for novolac resins
Makoto Hanabata, Akihiro Furuta
The relationship between resist performance and the kinds of phenolic compounds for novolak resins was investigated from the standpoint of the image formation process. Dissolution rates were measured on photoresists containing novolak resins made from various phenolic compounds including phenol,cresol,ethylphenol,butylphenol,and their copolymers. It was fo.und that there are suitable combinations of phenolic compounds to exhibit high resist performance. On the basis of the experimental results,we discuss the effect of the kinds of phenolic compounds on the dissolution characteristics and the structure of novolac resins. Finally,we propose a selection principle of phenolic compounds for novolak resins useful to design high performance positive photoresists. 1.lntroduction
Free volume and viscosity effects in polymer layers: application to lithographic processes
Patrick Jean Paniez, Michel J. Pons, Olivier P. Joubert
Due to the numerous hydroxyl groups present in Novolaks and PHS, large amounts of free volume are created during the spin coating process. The free volume variations can be observed using plasma etching techniques along with laser interferometry. The concept of free volume allows a clearer description of the bake process. The detection of free volume implies a better understanding of its role in the various steps of the lithographic process. Several applications of this technique are presented.
Studies of the molecular mechanism of dissolution inhibition of positive photoresists based on novolac-DNQ
Kenji Honda, Bernard T. Beauchemin Jr., Rodney J. Hurditch, et al.
effects in the development process. A comprehensive theory ofdissolution inhibition in novolak-DNQ (diazonaphthoquinone) resist is proposed, which is based on experimental studies of novolak-PAC (photoactive compound) interactions and the relationship between novolak microstructres and dissolution inhibition. The theory invokes a "two-step mechanism". Static molecular interactions between novolak and DNQ are augmented by secondary dynamic
High-resolution positive photoresists: novolac molecular weight and molecular weight distribution effects
Anthony Zampini, Pamela Turci, George J. Cernigliaro, et al.
The roles of polymer molecular weight, molecular weight distribution and chemical composition on the lithographic and thermal performance of positive photoresists were investigated. With the exception of a separately prepared alternating block copolymer, the five novolaks studied resulted from successive solvent fractionation of one original mixed cresol polymer to yield a range of molecular weights from 1000 to 29,000 weight average molecular weight (Mw) and polydispersities ranging from 1.9 to 10.1. The alternating block copolymer, prepared by formation of low molecular weight oligomers which were then joined, is nearly identical in Mw and M to one of the fractionated polymers. Thus, similar polymers prepared by different techniques could be compared. Photoresists made from these polymers were formulated to nearly constant total absorbance at G line using a single photoactive compound (PAC) at constant PAC-to-polymer ratio in all resists. The PAC is a commonly employed 0 linesensitive diazoquinone, with more than half of the ballast structures containing four diazonaphthoquinone groups. Lithographic response for each resist was normalized to constant unexposed film thickness loss (UFTL) of approximately 1 1OA/min by varying developer normality. Responses evaluated include photospeed, resolution, sidewall profile, exposure latitude, linearity, and thermal flow temperature.
New Materials
icon_mobile_dropdown
Chemical amplification of resist lines: a novel sub-half-micron bilayer resist tehnique for NUV and deep-UV lithography
Michael Sebald, Rainer Leuschner, Recai Sezi, et al.
The Chemical Amplification ofResist Lines (CARL) and its practicability in a bilayer/O2RIE processing scheme (Si-CARL) is described. Novel anhydride-containing resins and photoactive compounds of the diazonaphthoquinone type are used in top resists with sub-halfmicron resolution capability in both NUV and KrF excimer laser lithography. The silylating treatment of alkaline developed, positive tone top resist patterns with aqueous solutions of bisaminoalkyl-oligodimethylsiloxanes can be performed on standard puddle development tracks at room temperature. A concomitant linewidth increase is used to reduce dimensions of resist openings, e.g. trenches or contact holes, with good process control. Equal lines and spaces were achieved by treatment of slightly overexposedtopresist patterns. Modified top resistpatterns are transferred intothe underlying 1 .3 -1.8 j.tm thick planarizing layer without linewidth variationtogive steepprofiles and high aspect ratios. Thus, 0.3 tm resolution is obtained with KrF excimer laser exposure (0.35 NA). The attained resolution of 0.3 tm features with g-line exposure (0.55 NA) represents ak-factor of 0.38, according to the Rayleigh relation. This paper discusses process and lithographic performance characteristics of the novel Si-CARL process.
Image reversal: a new chemical approach using isoureas
James W. Taylor, Thomas L. Brown, David R. Bassett
A dual-tone photoresist that produces positive and negative images has been developed. The chemistry of image reversal is based on novolac-bound isoureas. Isoureas are "blocked" carbodiimides which deblock during postbake to deactivate indenecarboxylic acid groups in the irradiated areas. After postbake, the resist is flood-exposed to convert the remaining photoactive compound in the resist to indenecarboxylic acid groups; development then gives a negative image of the mask.
Germanium-containing resist for bilayer resist process
Hirofumi Fujioka, Hiroyuki H. N. Nakajima, Shinji Kishimura, et al.
Germanium-containing resist material has been investigated as a new type of removable bilayer resist , since the oxide of germanium is soluble in conventional acids. The polymers derived from trimethylgermyl- styrene ( GeSt) show good resistance to 02 RIE , and their surface has been •determined to be converted into GeO, by XPS measurement before and after 02 RIE. The homopolymer of GeSt has been found to crosslink upon exposure to deep UV or electron beam radiation and to behave as a negative resist. The sensitivity is enhanced several times as high as that of the PGeSt by copolymerizing with 1 0 mol% chloromethyl-styrene ( CMSt) . The copolymer gives fine resist patterns with vertical sidewalls in a bilayer process. The germanium- containing resist pattern after 02 RIE is not completely dissolved in some acids such as H2 SO4 . This is due to the organic components remaining in the film. However, it has been found that it is perfectly dissolved in oxidizing acids such as fuming HNO and H2S04/H202(2/l) without a residue.
Microlithography using conducting polymers
Joachim Bargon, Theo Weidenbrueck, Takumi Ueno
Lithographically structured electrically conducting polymers can be otained via photoexposure of precomposites, consisting of a matrix polymer and a photosensitive oxidant. Upon the selective destruction of the oxidant, the remaining oxidant can be used to convert a suitable monomer from its vapor phase into a lithographically patterned, electrically conducting polymer. This two-dimensional pattern can be converted into a three-dimensional pattern either via selective RIE or via electroplating a metal or other conducting material on top of the above composite. In a typical example the matrix polymer is PVC, pyrrole saves as the monomer, FeC13 as the photosensitive oxidant and copper is being electroplated onto the polypyrrole pattern.
Application of the in-situ dyeing effect for an image reversal resist
The dyeing effect observed at an image reversal resist, are explained using tTh-Vis spectropbotanetry , and on that basis, the canputations of A , B, C and y values used in SAMPLE simulations prove the lithographic properties of this technique.
Poster Session: Resist Technology
icon_mobile_dropdown
Photoresist aerosol particle formation during spin coating
L. David Pratt
Aerosol photoresist particles, that formed during spin-coating of silicon wafers, were contained by modifying the spin-cup of a photorésist coater. The aerosol particles were counted, using an optical particle counter, and collected for SEM inspection with a cascade particle inipactor. We measured the effect spin-coating process variables had on the nuniber, size and shape of photoresist aerosol particles. Both negative and positive photoresist coatings, having photoresist aerosol particles on their surfaces, were exposed and developed to demonstrate the type of pattern defects that fornied.
Optimization of the absorbance of novolak resin films at 248 nm
Leonard E. Bogan Jr., Karen A. Graziano
It is generally accepted that a 1 jim thick resist film should have an absorbance of no more than 0.25 absorbance unit at the exposure wavelength to enable formation of high-resolution images with vertical wall profiles. Conventional materials are ill-suited for use with KrF excimer laser exposure as the primary component (ca. 80% w/w) of conventional photoresist formulations is novolak resin with absorbance □ 0.6 a.u.flim at 248 nm. This paper describes the optimization of novolak resin composition to give films with minimal absorbance at 248 nm. The deep-UV (248 nm) absorbance of dilute solutions of substituted phenols has been measured and found to follow a welldefmed and predictable pattern. Use of this information to model the absorbance of novolak resin solutions is complicated by the effects of polymer secondary structure on UV absorbance. The absorbance of novolak homopolymer solutions has been studied in four solvents and found to be dependent on polymer composition, secondary structure, molecular weight, and solvent. In order to observe the effects of composition independent of secondary structure, highly branched novolak oligomers were prepared by condensation of commercially available phenols with 2,4,6-tris(dimethylaminomethyl)phenol (Rohm and Haas DMP3O). The optical densities of films of these structurally uniform oligomers were compared. The most transparent copolymers had optical densities which were essentially the same as that of a m -cresol novolak homopolymer, ca. 0.35 absorbance units/Rm.
New Materials
icon_mobile_dropdown
Chemically amplified resists for I-line and G-line applications
Amanda K. Berry, Wayne E. Feely, Stephen D. Thompson, et al.
This paper describes the evaluation of several phenothiazine and benzophenothiazine derivatives which are useful as i-line and g-line photosensitizers for a class of chemically amplified crosslinked resists. Data supporting an electron transfer mechanism of sensitization from the excited state of the sensitizer to the acid generator are provided. Initial lithographic screening demonstrates the potential for both high sensitivity and submicron resolution in these systems.
Poster Session: Resist Technology
icon_mobile_dropdown
Sidewall profile control through processing and dye additives
Eitan Shalom, Donald W. Johnson, Kelly Hale, et al.
This paper studies e effects of process conditions and dye additives on sidewall profiles using RS—1 designed experiments. We have explored the mechanism of forming negative sidewall profiles (above 90) in a positive photoresist, the dependence of depth of focus (DOF) and exposure latitude upon sidewall angle The resist studied is a positive, dual purpose (g—, i—line) resist, ULTRAMAC EL2015. The study presented in this paper was done in i—line but similar phenomena were observed in g—line as well. The effect of two different actirtic dyes in various concentrations on wall angle control is demonstrated. The two dyes investigated are both soluble in resist solvent but their solubility in developer is very different and their effect on required exposure energy is different. The contribution of the dyes to the absorption of the resist, their effect on swing curve and surface inhibition is evaluated and compared. The surface inhibition effect of undyed resist has been determined to be the most critical parameter influencing profiles, as increased post exposure bake temperature increases sidewall profile angle.
Reduction of lateral swelling and incoporation of DESIRE in MOS processing
Anne-Marie Goethals, David N. Nichols, Maaike Op de Beeck, et al.
The DESIRE1,2 process is based on selective silylation and subsequent dry development. A problem with silylation of resists is the volume expansion3 of the resist image, which results in pattern deformation and displacement of small features near large structures, also referred to as proximity effect. This lateral swel1ing45 should be reduced before implementation of DESIRE in ULSI processes. The effect of processing conditions, exposure wavelength, polymer composition and type of silylating agent on this swelling phenomenon have been studied and several solutions for reducing the swelling are proposed. Both thermal and UV induced crosslinking have been found to be a major contributor for elimination of the swelling. During silylation the silylating agent diffuses into the resist and reacts with the hydroxyl groups of the polymer. Swelling was reduced by lowering the concentration of these hydroxyl groups and by making use of different silylating agents with a smaller ballast group on the Si. The DESIRE process was implemented in a 0.5 xm gatelength NMOS process, in order to examine its compatibility with MOS processing, especially dry etch characteristics. 0.5 jim transistors have been succesfully made.
Characterization of the intermediate development bake as applied to I-line lithography
Nandasiri Samarakone, Patrick Jaenen, Luc Van den Hove, et al.
The use of evolutionary resist processing techniques designed to improve profiles and extend process latitude, is of general interest to lithographers. The more commonly known methods (HARD, LENOS) utilise the phenomenon of alkali catalysed crosslinking, to create additional surface inhibition during development. Such techniques whilst undoubtedly useful, are by their very nature limited to improving only the very top of the resist profile. Additionally under certain process conditions the formation of a top hat profile can be observed, this is particularly noticeable on smaller features. The use of an interrupted development cycle, or of multiple interrupted development cycles (PRISM) has also been reported to exert a beneficial effect on profiles. However our own tests, utilising a single interrupted development cycle, are unable to support such claims, and have in fact demonstrated degraded profiles, in comparison with a standard double puddle process. We report here on an alternative technique, the so called intermediate development bake or 1DB, whereby a short low temperature baking step is introduced midway during the development cycle. The distributed nature of this technique discourages the formation of top hat profiles, whilst improving the upper part of the developed profile. Previously reported work concentrated on the use of infra red baking. The present paper investigates this further, whilst additionally covering the use of warm air and warm water treatments. The use of warm water in particular is potentially very attractive, and we demonstrate its effectiveness on a range of i-line specific, g-line and multiwavelength resists, exposed using i-line. A particularly close study has been made of Olin's HiPR 6512 resist using both metal ion and metal ion free developers. Based on a number of observations including the use of FTIR and XPS, we advance a mechanism to explain our results.
G-line image reversal: capabilities on a 0.54-N.A. stepper
Thomas R. Seha, Thiloma Perera
During the last several years image reversal has been demonstrated to be an effective technique for extending theresolution ofoptical steppers while maintaining therelative simplicity of single layerresist processing13. In order to satisfy the increasing requirements for smaller device geometries very high numerical aperture g-line steppers have lately become available. A new image reversal resist (Raypo) designed specifically for g-line use has recently been developed by Hoechst corporation4. This paper discusses the process optimization andlithographic performance ofthis resist on a 0.54 N.A. stepper, one ofthe highest numerical aperture g-line steppers currently available. The process variables investigated include prebake temperature, post exposure bake temperature, flood exposure time, and develop conditions. The responses studied include resolution capability, profile quality, dose requirements, exposure latitude, focus latitude, and performance on reflective topography. The thermal stability of the resist is also discussed. Some results are compared with those for a new advancedpositive g-line resist (AZ 6212) developed by Hoechst corporation.
Dry Develop
icon_mobile_dropdown
Silylation processes for 193-nm excimer laser lithography
Mark A. Hartney, Roderick R. Kunz, Daniel J. Ehrlich, et al.
A silylation process for novolac-based resins was developed which results in positive-tone imaging. This process is based on 193nminduced crosslinking followed by a low temperature silylation step. Novolac resin without diazoquinone additives may also be used as positive-tone resists. Typical conditions were exposure to dimethylsilyldimethylainine vapor at 10 Torr for 1 minute at 100 °C. This incorporates silicon in the upperniost 100 to 1000 nn of the film, depending on the resist. Etch selectivities in a 10 rnTorr oxygen reactive ion etching plasma with a bias voltage of -200 V were typically 30:1. Resolution below 0.3 m has been demonstrated with this technique.
Poster Session: Resist Technology
icon_mobile_dropdown
Silylation and dry development of three component resists for half-micron lithography
Thierry G. Vachette, Patrick Jean Paniez, Michel Madore
The study of the SAL 601 shows that E-Beam exposure induces selectivity in the diffusion of silylating agents. Two different mechanisms are responsible for silicon incorporation. The HMDS diffusion and reaction in the novolak matrix have been studied as a function of the thermal properties of the polymer. Two main silylation processes have been found by the modification of silicon incorporation mechanisms versus the silylation temperature. Different silylation tools and etchers have been tested in order to achieve correct patterns. Mask formation and grass phenomena have been studied and a model with aggregates formation has been developed. Silicon incorporation into the three different component resists has been studied versus the main silylation parameters. Submicron patterns have been achieved for different processes. This paper demonstrates that three component resists can be silylated by the use of different silylation processes and are good candidates for half-micron lithography.
Novel cresol/chloroacetaldehyde novolacs for high-temperature resist applications
Thomas R. Sarubbi, Andrew J. Blakeney, Joseph J. Sizensky, et al.
A new class of novolacs has been developed which imparts a unique blend of sensitivity, submicron resolution and image thennai flow resistance to positive photoresist formulations. The novolac system is based upon condensation of metaand para-cresol with a chloroaceta!dehyde equivalent. The resists are based upon formulations of the new chloroacetaidehyde (CM) novolacs and typical diazonaphthoquinone photoactive compounds. The resist image thermal flow temperatures can exceed 200°C depending upon the molecular weight and composition of the novolac. As a result of the high thermal flow properties of the CM novolac, the resists are verb' stable to reticulation in aluminum or oxide plasma etching environments, eliminating the need for Deep UV curing.
Improved CD uniformity as a function of developer chemistry and process parameters derived from a statistically designed experiment
Lorna D.H. Christensen, Ken L. Bell, Nadine A. Acuna
A series of experiments was run to determine the chemical and process effects on CD uniformity for Dynachem's new dyed photoresist, NOVA 2050 AR, when developed with metal ion free and metal ion type developers. The matrix of experiments included variations in spray time and puddle time, but with the constraint that all experiments had the same total time for spray and puddle times. In order to do this type of matrix it was necessary to make an unusual use of a mixture model to devise the experimental design. The design was devised to look at the effects of one-puddle, twopuddle, three-puddle and all-spray processes. Also, the effect of using either metal ion free or metal type developers was examined by running the matrix with Dynalith EPD-85 and DE-3 (0.5%) as respective examples of the two developer types. Before beginning the above matrix the baseline parameters for nozzle position, puddle time, spray time, spray speed, pre-wet time, spray pressure and spray time were determined via a Plackett- Burinan design of experiments. These three studies when compiled and analyzed with the statistical software package, RS-l, served to separate the effects of process parameters and developer type according to their effect on CD uniformity. These results suggest that three-puddle metal ion free develop processes were superior. Graphs will be shown that illustrate which parameters are the most influential concerning CD uniformity. A mathematical model will be presented that will allow the calculation of the standard deviation for CD uniformity with 95% confidence for any given set of spray and puddle times. These data and models will thereby give guidance to the process engineer how to best use developer type and process in order to obtain the best CD uniformity for a 1.0 micron process.
ANR photoresist process optimization at 248 nm
Christophe Pierrat, Francoise Vinet, Thierry Mourier, et al.
The purpose of this article is to propose a residue-free process using ANR resists specifically designed for deep UV lithography. The influence of development steps, process conditions and resist formulation on residues were studied. An optimized process point with a new resist formulation is proposed. Using this residue-free process point, very high resolution was achieved for deep UV and e-beam lithography. Moreover, the temporal effects on the coated wafers were investigated.
Optimization of the dry development for the DESIRE process
Ria Lombaerts, Bruno Roland, Anne-Marie Goethals, et al.
In surface imaging, dry developed resist systems, based on gas phase silylation, profiles are mainly determined by the silicon distribution between the exposed and the unexposed areas in the upper part of the resist, and by the dry etching process that transfers this silicon image into the rest of the resist layer1 . Contrast enhancement, resulting in steeper roflles and less residues, is obtained by a two-step dry development process23. In a short first step, a small amount of a fluorinated gas is added to remove the upper thin layer of resist. This results In an elimination of residual silicon in the non-exposed areas and also in steeper silicon-profiles in the exposed regions, and thus in steeper resist profiles after dry development. Similar process improvements can be obtained using a two-step dry development process using pure oxygen. The selectivity is then controlled by increasing or decreasing physical sputtering. Instead of using fluorine containing gases for non-selectivity, the silicon, incorporated Into the non-exposed areas, is sputtered away physically In a first step by using elevated power and decreased flow rate conditions. With this in mind and with the help of a statistically designed experiment, The optimum process conditions for this pure oxygen, two-step development process were determined. The results are compared to those obtained using a single step development and to the two-step C2F6 process.
EL2015: development of a submicron photoresist for G-, H-, and I-line exposure tools
Donald W. Johnson, Eitan Shalom, Glenn R. Dickey, et al.
The accelerated drive toward 0.5 and 0.3 urn optical imaging is putting severe pressure on both the equipment and photoresist vendors. Materials must give both high resolution and high process latitude. These needs led to the develop- ment of EL2015 positive photoresist series. This is a high contrast, high resolution photoresist series based on the same chemical concepts used in our PR1O24MB resists. This new resist contains a 2,1,5-naphthoquirionediazidesulfonate ester of a special novolac as its photoactive component. This is combined with an improved novolac resin for better film integrity. EL2015 is a versatile positive resist optimized for g-, h-, i-line, and broadband exposure tools. Resolution of better than 0.50 urn has been routinely obtained with current 0.40 NA i-line steppers and 0.40 urn resolution at best focus. A focus latitude of greater than 1.5 urn for 0.5 urn images with an exposure latitude of 30-50% has been demonstrated on i-line equipment. Finally, the thermal stability and plasma etch resistance of EL2015 has been found to be comparable to current high resolution g-line photoresists.
Evaluation of resist materials for KrF excimer laser lithography
Harry H. Fujimoto, Masaru Sasago, Yoshiyuki Tani, et al.
Good resist patterns are not obtained for KrF excimer laser lithography using conventional naphtoquinonediazide novalac resin deep UV resist because of the strong photoabsorption of the resist at 248nm wavelength. In this paper we report the development of new high transparency positive resists, STAR-P and MASTER, using poly(styrene-co-maleic acid half ester) base polymer and sensitizers. These resists have excellent transmittance as high as 70% and photobleaching characteristics resulting in resolution of 0.45 micron with excellent pattern profiles with greater than 80 degree wall angle. These resists also have excellent dry etch resistance comparable to conventional novolac resist during silicon dioxide etch. These resists are good candidates for development and manufacturing of sub-half micron VLSI devices.
Submicron imaging at 248.3 nm: a lithographic performance review of an advanced negative resist
John S. Petersen, Wei Lee
This paper reviews the initial lithographic performance of the Megaposit® SNR 248-1.0 Photo Resist during the imaging of 0.35, 0.40, 0.45, 0.50 and 0.55km line/space pairs on a 0.42NA/0.5 coherence/KrF stepper. The test results show that process window overlap can be maintained to a normalized geometry size of 0.59 ki for line/space pairs. This degree of overlap is shown to be comparable to a normalized value of 0.76 k 1 during the simultaneous imaging of line/space pairs, isolated lines and isolated spaces. This result appears to be consistent with the g-line results published prcviously1 . It is also shown that at the exposure dose required to size the target geometry, the resist induced bias due to lateral development is negligible and provides the possibility to extend the working resolution to smaller geometries if the intensity minimum of the aerial image can be suppressed.
I-line photolithography: an investigation of resist bleachability and process performance
Giorgio A. L. M. Degiorgis, Patrizia Pateri, Alberto Pilenga, et al.
The present work presents an investigation of the characteristics of a broad band capability photoresist in terms of photo-active compound (PAC) bleachability and process performances. The difference in performance on exposure to 436 and 365 nm wavelengths of a commercially available photoresist, Olin Hunt H1PR-6512, has been evaluated as a function of some typical lithographic parameters by using FT-IR spectroscopy and actinometry. This analysis contributed to the development of a submicron I-line process, which in conclusion is shown in a production environment application.
Dry Develop
icon_mobile_dropdown
Sub-half-micron deep-UV lithography using wet and dry developable resist schemes
DUV lithography is an emerging technology which promises excellent resolution coupled with an improved depth of focus. Whilst the hardware connected with this technology is rapidly maturing, there remain question marks over the suitablilty of present DUV resists in the fabrication of actual circuits. Two widely differing approaches are typified by Plasmask (DESIRE) which involves surface imaging and dry development and by the wet developable Shipley Megaposit SNR 248-1.0, which utilises acid catalysed chemistry. We have studied both materials using a contact printing system and the ASM-L PAS 5000110 DUV stepper (both at 248 nm wavelength). In particular we have compared the lithographic performance of both resists on a variety of substrates and topographical features, commonly encountered during processing. Practical issues such as the intrinsic adhesion, photospeed, exposure latitude, focus latitude, linearity, thermal resistance and etch resistance are presented. For the Shipley material we have compared its performance under various development conditions, with respect to resolution, photospeed, profile and residues. On the Plasmask material we have investigated the degree of silicon incorporation for various silylation conditions and for various Plasmask formulations. Significant differences have been noted for exposures made with this wavelength (248 nm) and those commonly reported with g and i-line exposure. Finally, the feasibility of using TMDS (1,1,3,3 Tetramethyldisilazane) as a silylating agent is presented.
Diazo Materials
icon_mobile_dropdown
Novel diazonaphthoquinone photoactive compound for G-line/I-line compatible positive photoresist
Shiro Tan, Shinji Sakaguchi, Kazuya Uenishi, et al.
A photoactive compound (PAC) based on diazonaphthoquinone (DNQ) -5-sulfonyl ester of a novel ballast group (backbone) , which provides compatibility for both g- and i-line exposures is described . The PAC structure was designed to minimize the background absorption at 365 nm due to the backbone moiety, and further to enable a resist formulation to be optimized with lowered PAC loading for the reduction in A and B parameter values. Model PACs having different structural parameters were investigated by DRM and lithographic evaluations. The results indicated desirable PAC structures of lower absorption which provided the additional benefits of higher contrast and photospeed. The following structural parameters were found to contribute positively to these. (1) higher DNQ substitution level of backbone compounds, (2) an introduc-' tion of hydrophobic moiety into the backbone, (3) higher functionality of the backbone (number of the hydroxy groups capable of DNQ substitution) . A backbone compound for the , polyhydroxyspirobiindane was found to fulfil 1 the above requirements. A resist formulated with the PAC based on this backbone exhibited excellent resolution with near vertical profiles when imaged on high NA g- and i-l me steppers.
New Materials
icon_mobile_dropdown
Very thin multicomponent resists prepared by Langmuir-Blodgett techniques
Laura L. Kosbar, Curtis W. Frank, Roger Fabian W. Pease
Langmuir-Blodgett (LB) techniques can be used to prepare very thin organic films, and we have investigated their use in lithographic applications. Resist films were prepared usmg novolac and poly(p-hydroxystyrene) resins and both near and deep UV sensitive photoactive compounds (PAC). LB resist films formed from mixtures of polymer and PAC behaved lithographically in a similar fashion to spin cast films. LB films as thin as 300 A were sufficient to protect 500 A of chromium during wet chemical etching. Initial attempts to use LB films as the top layer in a bilayer resist system indicated that silylation of the LB films may yield etch rate ratios of up to 10: 1 vs hard baked novolac. Investigation of the "sphere of influence" of PAC molecules by controlling their distribution throughout the film indicates that strong interactions may exist between consecutive LB layers of PAC. LB films may also be useful for modifying the surface concentration of PAC.
Acid Catalyzed Systems
icon_mobile_dropdown
Chemically amplified DUV photoresists using a new class of photoacid-generating compounds
Georg Pawlowski, Ralph R. Dammel, Charlet R. Lindley, et al.
Photoresist materials based on chemical amplification processes show unique properties with respect to their sensitivity, flexibility in design and resolution capability which extends down to the sub half micron region as required for the production of ULSI devices of the next generations. Moreover, as such materials perfectly meet the requirements of DUV exposure tools, it is anticipated that they will be used for the production of 64 MB DRAMs. The photoacid generator (PAG) plays an important role in these complex systems. We report results using new nonionic PAG's, namely a,o'- bisarylsulfonyl diazomethanes j, which on DUV irradition generate sulfonic acids capable of cleaving acid labile dissolution inhibitors in positive tone DUV photoresists or crosslinking acid sensitive methylol compounds in negative tone materials. Their chemistry, photochemistry and relevant physical properties are discussed; first results on DUV sensitive three component photoresist materials using as PAG's are presented. The high sensitivities and contrasts observed in these materials are close to meeting the requirements of the semiconductor industry, which makes them viable candidates for further evaluation.
Poster Session: Resist Technology
icon_mobile_dropdown
Highly sensitive x-ray and electron-beam resists using chemical amplification
Ralph R. Dammel, Charlet R. Lindley, Georg Pawlowski, et al.
Highly sensitive resist materials are one of the prerequisites for the economic viability of X- ray and E -beam lithography. The principle of chemical anzphfication coupled with the three - component system (3CS) concept leads the way to the design of highly sensitive, yet as the same time process stable materials which fulfill the requirements of modem semiconductor patterning methods. Two experimental 3CS resist systems using chemical amplification, the positive -tone resist RAY- PF and and the negative - tone resist RAZ PNJJ4, will be presented, and their suitability for X- ray and E - beam application exa,nined.
Modified polyhydroxystyrenes as matrix resins for dissolution inhibition type photoresists
Georg Pawlowski, Thomas P. Sauer, Ralph R. Dammel, et al.
It is generally accepted that the production of shrink versions of the 16 MB DRAM and the 64 MB DRAM generations will be patterned using deep UV radiation. This provides a new challenge to the photoresist suppliers, as the standard photoresist formulations are not suitable for this technology, mainly because the presently used novolak resins are highly opaque in the 200 - 300 nm region. This is especially true for the 248 nm wavelength of KrF eximer lasers. Poly 4- hydroxystyrene [PHS] has several advantages in transmission and thermal stability; however, its dissolution rate in commercial grade developers is unacceptably high. We report some recent results on modified, alkyl-substituted PHS derivatives. These polymers combine reduced alkaline solubiity with adequate optical and thermal properties, making them acceptable for future deep UV based production processes. Selected data of these new (co)polymers are discussed.
Acid Catalyzed Systems
icon_mobile_dropdown
Development of a chemically amplified positive resist material for single-layer deep-UV lithography
Omkaram Nalamasu, May Cheng, Janet M. Kometani, et al.
The chemistry and preliminary lithographic characteristics of chemically amplified positive acting (CAMP) resist formulations that meet the deep-UV lithography requirements of low optical density and high sensitivity were described recently.11 This paper examines the lithographic performance of a specific resist comprised of poly (t-butoxycarbonyloxystyrene-sulfur dioxide) and the onium salt, triphenylsulfonium hexafluoroarsenate as the photoacid generator. The lithographic performance was evaluated as a function of resin molecular properties, sensitizer loading effects and various process parameters. Exposures of optimum formulations of this resist (CAMP) were conducted on a GCA prototype deep-UV exposure tool with a NA = 0.35 and 5X reduction optics. Line/space patterns down to 0.35p.m with vertical wall profiles and 0.4.tm windows in 1-1.2im thick films were obtained. The paper will summarize the resolution, depth of focus, exposure latitude and processing characteristics obtained from the evaluation of CAMP and will discuss the pattern transfer results obtained with different substrates. The performance of CAMP was also evaluated on substrates with topography and the results will be presented.
Poster Session: Resist Technology
icon_mobile_dropdown
Formation of latent images and resist profiles in the DESIRE process
Marijan E. Reuhman-Huisken, A. J. W. Tol, Robert Jan Visser, et al.
The silylated image and etched profiles in the DESIRE process are simulated and compared with experimental results. The simulations show sioped silylated profiles, due to the finite contrast of both the aerial image and the silylation. As a consequence the etched profiles are positively sloped and the linewidth changes in the course of the etch process. Experimental results reveal that the slopes of the silylated areas are even worse than simulated. This is attributed to lateral swelling and lateral transport of the silylating reagent. In accordance with the simulation the linewidth decreaseS during etching. The sidewall angles, however, remain near-vertical despite the worse slope in the silylated profiles.
Image formation mechanism in PMMA-MAA antracene positive photoresist exposed by pulsed laser and kinetics of its development
Alexei L. Bogdanov, V. A. Nikitaev, Andrey B. Poljakov, et al.
A new resist composition based on PMMA-MAA copolymer with antracene additive was exposed by a single pulse of KrFexcimer laser (X =248 nm). Its contrast was found to exceed significantly the limit determined by light absorbtion. Studies of the development kinetics of the resist and corresponding theoretical reasoning justify the assumption of a thermal activated process (boiling of the residual dissolver).
New KrF excimer laser process for improving novolac-type photoresist resolution
Akitoshi Kumagae, Kazuo Sato, Shinichi Ito, et al.
Surface modification of novolac type photoresist was investigated by alkali treatment in KrF excimer laser lithography. It was clarified from FTIR, ESCA, and UV spectrum analysis that the formation of an insoluble layer on the resist surface is due to the existence of a concentrated layer of a photoactive compound. This insoluble layer improved the top profile of the resist pattern and suppressed film thickness loss, but was not effective for controlling the linewidth in the succeeding reactive ion etching process. The wall angle of the resist profile was found to be the most important factor for decreasing the linewidth shift through reactive ion etching. Lateral modification of the resist side wall was conceived to improve the wall angle from the result of analyzing surface modification. As a result, vertical profiles of sub-halfmicron patterns were obtained successfully, which was realized by a combination of alkali treatment before exposure and multi-step development.