Proceedings Volume 10960

Advances in Patterning Materials and Processes XXXVI

cover
Proceedings Volume 10960

Advances in Patterning Materials and Processes XXXVI

Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 17 June 2019
Contents: 18 Sessions, 51 Papers, 31 Presentations
Conference: SPIE Advanced Lithography 2019
Volume Number: 10960

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 10960
  • Keynote Session
  • Inorganic Resists: Joint Session with Conferences 10960 and 10957
  • Stochastics and Exposure Mechanisms: Joint Session with Conferences 10960 and 10957
  • EUV Resists
  • Resist Fundamentals
  • Integration
  • Monolayer Materials in Device Fabrication
  • Directed Self-assembly I: Joint Session with Conferences 10960 and 10958
  • Directed Self-assembly II: Defectivity
  • Student Session
  • Material Supplier
  • Underlayers
  • Poster Session: DSA
  • Poster Session: EUV
  • Poster Session: Filtration
  • Poster Session: Fundamentals
  • Poster Session: Underlayer
Front Matter: Volume 10960
icon_mobile_dropdown
Front Matter: Volume 10960
This PDF file contains the front matter associated with SPIE Proceedings Volume 10960, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.
Keynote Session
icon_mobile_dropdown
Metal-containing resists for EUV lithography (Conference Presentation)
Metal-Containing Resists for EUV Lithography Robert L. Brainard State University of New York Polytechnic Institute - CNSE, 257 Fuller Rd. Albany, NY 12203 Since 2009, the photoresist community has shown a great deal of interest in EUV photoresists containing metallic elements. This interest was initiated by two events: (1) Publication of the pioneering work of OSU1 and Cornell;2 (2) The realization that increasing the EUV optical density of resists will improve stochastics.3 Since these two events, photoresist chemists all over the world have begun investigating the possibility of creating photoresists containing metals that strongly absorb EUV photons. Figure 1 shows the periodic table that is color-coded to indicate the relative EUV optical density of the elements.4 This table also shows all of the elements for which EUV resists have been published. This keynote presentation will attempt to review the most successful EUV resist platforms containing metals. In particular, the work of Inpria,5 Cornell, SUNY Poly6 and ARCNL7 will be described and discussed. The presentation will also describe some of the critical issues facing the industry as it evaluates the merits and challenges of using resists containing metals.
Increased regulatory scrutiny of photolithography chemistries: the need for science and innovation (Conference Presentation)
Brooke Tvermoes, David Speed
Semiconductor fabrication processes have evolved enormously with time, largely driven by the demand for advanced device architectures and increased performance. To continue to drive innovation and to achieve the desired electrical functionality required by today’s market, the industry relies on a number of highly sophisticated chemicals and materials. The semiconductor industry has traditionally adopted risk-based programs to reduce exposure potentials and environmental emissions or found safer alternatives when possible. For example, the industry was successfully able to phase out the use of perfluorooctanyl sulfonates (PFOS) and was able to surpass its 10-year goal in reducing the emissions of perfluorocompound (PFC) gases from semiconductor fabs. These two examples highlight recent voluntary initiatives undertaken by the industry to ensure the continued safe and responsible use of chemicals in semiconductor manufacturing processes. In June 2016, the Frank R. Lautenberg Chemical Safety for the 21st Century Act was signed into law significantly amending the Toxic Substances Control Act (TSCA) which is the main chemical safety law in the United States. TSCA has a broad scope and applies to the full life cycle of a chemical substance (i.e., manufacturing, processing, use, and disposal). Since TSCA reform the process as well as the data required to bring new chemicals to the market has changed dramatically. Therefore, chemical issues which have historically been managed singularly between the EPA and a specific company may be more effectively dealt with through industry-wide consortiums, including both chemical manufacturers and users. Consortiums of this nature are likely better positioned to generate data and information relevant to the entire life cycle of a chemical. For example, EPA recently denied low volume exemption requests (LVEs) for photoacid generators (PAGs) due to increased concerns regarding the environmental, health, and safety aspects of onium–based compounds. To address EPA’s concerns, a "PAG onium" consortium was formed to fill critical data gaps throughout the life cycle of these chemicals that will allow for a more accurate assessment of the potential risks associated with the use of these chemistries. Other photolithography chemistries that are under an increased level of scrutiny include short chain perfluorinated compounds (e.g., perfluorobutanesulfonic acid derivatives) and N-Methyl-2-pyrrolidone (NMP). This talk will discuss how recent changes to the regulatory landscape have changed EPA’s chemical reviews and the resulting implications on the information/ data required to evaluate a chemical before bringing it to market.
Inorganic Resists: Joint Session with Conferences 10960 and 10957
icon_mobile_dropdown
Model studies on the metal salt sensitization of chemically amplified photoresists (Conference Presentation)
As EUV approaches its insertion point into high volume manufacturing the semiconductor industry is increasingly focusing on photoresist performance. Recently metal containing resists have been proposed as alternatives to standard Chemically Amplified (CA) systems. Both approaches suffer from an incomplete knowledge of the EUV imaging mechanism. In particular the origin, number and fate of the secondary electrons believed to be active in the resist reactions is poorly understood. In this contribution we describe a study designed to try and characterize these processes and quantify the reactions that determine resist performances. We will describe experiments on a series of model CA systems doped with inorganic salts. Photoacid yields and relative rates of deprotection will be reported for metal salts that can be incorporated into polymer films at concentrations as high as 10 molal. In addition to comparing the relative performance at EUV we will also be characterizing the response at 248 nm and 100 KeV e beam. The results of these studies will be discussed in terms of the metal ion crossection, ionization potential and redox potential. In addition we will describe some unanticipated EUV reactivity of standard acid indicators that may impact the accepted electron yield/photospeed measurements that have been reported for EUV CA resists.
Model reactivity of inorganic and organometallic materials in EUV (Conference Presentation)
Wyatt Thornley, Hoa D. Truong, Martha I. Sanchez, et al.
The looming industry transition towards EUV for high-volume manufacture of semiconductors has demonstrated the need for high sensitivity resists capable of delivering the resolution enhancements offered by the 13.5 nm platform. Inorganic and organometallic based resists have demonstrated themselves viable alternatives to traditional chemically amplified (CA) photoresists, as the EUV absorptivity enhancement of metal nuclei can enable efficient reactivity at minimal photon doses. Despite the demand for EUV photoreactive materials, relatively little has been reported on the fundamental reactivity of inorganic and organometallic compounds towards EUV that may enable the rational design of metal-based resists. To facilitate the design of next-generation metal-based resists, we have evaluated the reactivity of well-known metal-based model photosystems that undergo ligand-to-metal charge-transfer (LMCT), metal-to-ligand charge-transfer (MLCT), outer-sphere charge-transfer (OSCT), and ligand field (LF) based photochemistry in the UV and visible towards EUV and 100 KeV e-beam, with product characterization carried out by infrared, Raman, and UV-Vis spectroscopies. We will report the findings of these studies, emphasizing the relationships between quantum yields in the UV-Vis and EUV, role of the EUV absorption cross-section of the central metal, and trends in reaction classes and their relative sensitivity towards EUV.
Stochastics and Exposure Mechanisms: Joint Session with Conferences 10960 and 10957
icon_mobile_dropdown
Then a miracle occurs: A description of the issues of EUV radiolysis process and the relationship to stochastic print failures (Conference Presentation)
The design of viable imageable photoresist materials for Extreme Ultra-Violet, EUV (13.5 nm), radiation requires the understanding of the energy conduits that form to deliver chemically relevant resonant energies within a material, Starting with absorption of a 92 eV ionizing radiation that, upon absorption, initiates a spawning of photoelectrons and secondary electrons that distribute energy across the population of generated secondary electrons with each subsequent generation’s electrons increasing in number and lower in average energy until they attain 0 eV. The loss of energy is the result of both elastic and inelastic scattering. At energies above 30 eV absorption is localized to atoms on the molecules in the resist matrix and the electrons that are spawned continue to react locally until then around 30-20 eV, the deep-valence region, they begin to delocalize and with continued ionization until 10 eV, that below this interacting through the molecular orbitals, the secondary electrons begin to react as virtual photons until below 3 eV where they attain energies that resonate with vibrational energies to form stable free radicals and ions that if not trapped by a molecule with a resonant low lying unoccupied molecular orbital (LUMO) may be captured by a hole in the material or substrate or they may get trapped in the material system as they are, without reacting. The resist designer applies knowledge concerning the interaction of high energy particles and photons with gases and biological systems to the lower energies used for lithography truncating at below 3 eV in the condensed matter of the polymeric resist matrix. This truncation occurs because the role of (quantum) resonance of a molecular bond with a “virtual photon” is typically between 3 and 5 eV. However, because of the presence of excess electron, stable anions, and radicals (as) reactants this truncation is erroneous; and chemistries may occur at energies approaching 0 eV. These chemistries result from vibrational resonances of the transient electron with a low energy unoccupied molecular orbitals (LUMO) of the matrix molecules. This behavior is quantum in nature and is not classical. This paper discusses the radiolysis processes as follows: 1. the local nonmolecular processes from 92 eV photon absorption to 30 eV, 2. then the ionization in the deep valence below 30 eV to 10 eV, 3. followed by the region of virtual photons from10 eV to 3 eV, 4. and then examine below 3 eV to 0 eV to finish. Describing the processes in the higher energy regions is difficult due to the short attosecond to femtosecond reaction time-resolution to monitor them but the paper proposes methods to accomplish. Below 30 eV the plasmonic charging work function pose problems for some methods like Total Electron Yield measurements of low energy electrons but time resolved and various analytical methods using EUV exposure will prove useful. The paper concludes by looking at the relationship to line-edge-roughness, stochastic print failures, and research opportunities that will take us to the edge of EUV lithographic chemistry.
Measuring extreme-ultraviolet secondary electron blur (Conference Presentation)
Extreme-ultraviolet (~13.5 nm) lithography is much different than the previous lithographic wavelength such that chemical reactions within the resist are caused by electrons generated from ionization. As the lithographic community moves towards printing more advanced nodes, the secondary electron blur from extreme-ultraviolet photons becomes more critical. Understanding the range of the secondary electrons from the photoionization site would provide insight into patterning capabilities for different photoresists and aid in the development of improved models. Here, we aim to determine the range of electrons by measuring the thickness loss due to top-down electron beam exposure. More importantly, this work focuses on measuring the thickness loss due to incident electrons with energies less than 80 eV for two different resist systems: (1) a chemically amplified photoresist where acid diffusion affects the depth of solubility changing reactions, and (2) a non-chemically amplified photoresist, PMMA, where no acid diffusion occurs. Photoresists are exposed to electrons, baked, and developed; subsequent ellipsometry is used to quantify the depth at which solubility changing reactions occur based on the incident energy and dose. Quencher concentration and post-exposure bake parameters are varied to mitigate acid diffusion to extrapolate the electron range. The results are then compared to the thickness loss of the non-chemically amplified photoresist.
Multiscale approach for modeling EUV patterning of chemically amplified resist
Hyungwoo Lee, Muyoung Kim, Junghwan Moon, et al.
Extreme ultraviolet (EUV) lithography is one of the most promising techniques in the semiconductor industry to enhance resolution, line edge roughness (LER) and sensitivity of chemically amplified resist (CAR) pattern. Post exposure bake (PEB) process, a major process in EUV lithography, has been studied by experimental approach, but they are confronted by time-consuming tasks for massive combinatorial research. Also, theoretical models have been reported to explain fundamental mechanism of the process, but the single-scale simulation studies show obvious limitations for accurate prediction of photo-chemical reactions in photoresist (PR) matrix and the resulting morphology of line pattern. In order to settle the problem, a multiscale model (density functional theory (DFT)-molecular dynamics (MD)-finite difference method (FDM) integration) was developed to simulate chemical reactions including PAG dissociation, acid diffusion, and deprotection of photoresist in our previous study, which is based on two-components system (PAG and PR). Herein, we propose the multiscale model for three molecular components consisting of PAG, PR, and photo-decomposable quencher (PDQ) which is widely used for fine PR pattern fabrication by neutralizing acid in unexposed region of the resist. The newly constructed model reflects more realistic acid diffusion and chemical reactions on PEB process. This achievement will be helpful to identify critical design parameters and suggest optimized design materials in EUV lithography process.
The hidden energy tail of low energy electrons in EUV lithography
Roberto Fallica, Seyed Javid Rezvani, Stefano Nannarone, et al.
Electronic processes in extreme ultraviolet lithography are key to understand chemical reactions that lead to exposure of photoresist because of recent evidence that even very low kinetic energy electrons (Ek → 0 eV) might be very efficient in dissociating the molecules in photoresists. However, an assessment of the distribution of electrons involved in the cascade at kinetic energy approaching zero eV is not trivial in solid state. In this work, we use electron yield spectroscopy from thin photoresist films based on poly(hydroxystyrene) with and without photoacid generator (PAG). The results show that the addition of PAG boosts the electron yield by a factor 2 with respect to the polymer only photoresist. We then elaborate an analytical form of the transfer function that describes the physics of the photoemission by accounting for the energy distribution inside the photoresist material during exposure to extreme ultraviolet light. By fitting the model function to the spectroscopic data, we obtain an estimation for the distribution of electrons which lie inside the material at energy too low to be measured by an external detector, in other words the hidden tail of low energy electrons. For a quantitative comparison, we also use another approach based on MonteCarlo simulation of electronic scattering effects to calculate numerically the magnitude of the electron cascade. Using Chariot simulator, a statistically significant number of electronic trajectories (6 x107) was calculated and the energy distribution of electrons are compared both outside and inside the photoresist film.
EUV Resists
icon_mobile_dropdown
PSCAR optimization to reduce EUV resist roughness with sensitization using Resist Formulation Optimizer (RFO)
Seiji Nagahara, Cong Que Dinh, Gosuke Shiraishi, et al.
Resist Formulation Optimizer (RFO) is created to optimize resist formulation under EUV stochastic effects. Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 reaction steps are included in the resist reaction model in RFO in addition to standard Chemically Amplified Resists (CAR) reaction steps. A simplified resist roughness calculation method is introduced in RFO. RFO uses “fast stochastic resist model” which uses continuous model information for stochastic calculation. “Resist component’s dissolution inhibition model” is also introduced for better prediction of different resist formulations in RFO. The resist component’s dissolution inhibition model is used for calculation of both Dissolution Inhibition Slope (DIS) and Dissolution Inhibition Deviation (DID). By dividing DID by DIS at a pattern edge, Line Edge Roughness (LER) can be predicted. The RFO performance is validated to give low residual errors after calibration even for different resist formulations. RFO is designed to optimize the resist formulation to minimize resist roughness as a cost function with keeping target CD. RFO suggests that PSCAR 2.0 with Polarity Switching photosensitizer precursor (POLAS) in combination with photosensitizer (PS) image enhancement may provide reduced resist roughness. Simulations using a calibrated rigorous stochastic resist model for S-Litho show a good prediction of PSCAR 2.0 process performance.
Advanced EUV negative tone resist and underlayer approaches exhibiting sub-20nm half-pitch resolution
Thomas Gädda, Nguyen Dang Luong, Markus Laukkanen, et al.
The RLS trade-off of EUV resists has been a major technical issue for high-volume manufacturing using EUVL. Significant attempts to develop of chemically-amplified resists, metal-containing resists, and a variety of other material classes have been made to obtain low LER at high resolution (R) and at a reasonable sensitivity (S). Previously, we have developed and reported work on silanol-containing polyhydrogensilsesquioxane resins and their use as negative tone resists. The developed silanol-containing polymer resists have demonstrated enhanced EUV sensitivity compared to traditional hydrogen silsesquioxane resins, and at the same time maintaining excellent etch properties. The resist may enable a bilayer stack technology in EUVL. Herein we report novel functionalized polyhydrogensilsesquioxane polymers and their use as negative tone resists. These materials exhibit improved LER/LWR and reasonably good EUV sensitivity. In best cases, data suggests no residues or bridging in the non-exposed areas. The optimized resist exhibits sub-20nm halfpitch resolution, low LER (2-3nm), and reasonable sensitivity (82.5 mJ/cm2). In addition, we also investigated the effect of three organic underlayers for EUV patterning and compared with the silicon substrate.
Multi-trigger resist: novel synthesis improvements for high resolution EUV lithography
G. O'Callaghan, C. Popescu, A. McClelland, et al.
Irresistible Materials (IM) is developing novel resist systems based on the Multi-trigger concept, which incorporates a dose dependent quenching-like behaviour. The Multi Trigger Resist is a non-metal based negative tone resist, and consists of a base molecule and a crosslinker, which represent the resist matrix, together with a photoacid generator (PAG). Previously presented MTR2 showed 16 nm half pitch lines patterned with a dose of 38 mJ/cm2, giving a LER of 3.7 nm on the NXE3300. Since then, research has been undertaken to improve this resist. In particular we are focusing on improved RLS; reduced top-loss and wiggling at high aspect ratios; eliminating the antimony PAG and further reduction of chemical stochastics. In this study, we present the approaches that have been taken to attain these goals and the initial results. Using the EUV Interference Lithography tool at PSI, a multi trigger resist with a high absorbance non-metal element included in the resist matrix, MTR2627, has been patterned at a pitch of 28nm with an estimated dose of 53mJ/cm2 and LER of 4.2nm. The LWR is improved in the low dose region, and results also show that a thicker film can be used without pattern collapse below pitch 32nm due to increased stiffness. Using the Berkeley MET tool, this resist matrix with a higher MTR ratio has patterned 24nm lines at a pitch of 48nm with an LER of 1.9nm with a dose of 65mJ/cm2. Additionally, we present initial results for an MTR resist series where the antimony PAG has been replaced with a carbon based PAG.
Improvement of dual insolubilization resist performance through the incorporation of various functional units
Satoshi Enomoto, Takumi Yoshino, Kohei Machida, et al.
The acid diffusion in chemically amplified resists (a current standard resist for semiconductor device manufacturing) is a significant concern in the development of highly resolving resists. However, non-chemically amplified resists (non- CARs) are generally less sensitive to radiation than CARs due to lack of amplification mechanism. Recently, a negativetype non-CAR resist utilizing polarity change and radical crosslinking (a dual insolubilization resist) was proposed. In this study, an acid reactive compound was introduced into the organotin-containing dual insolubilization resists to improve their sensitivity. The synthesized resists were composed of triarylsulfonium cations as a polarity changer and radical generator, 2,2,2-trisubstituted acetophenone as a radical generator, triphenyl(4-vinylphenyl)stannane (TPSnSt) as an EUV absorption enhancer and a quencher, and 4-[(2,4-Dimethoxyphenyl)hydroxymethyl]phenylmethacrylate (ARMA) as a polymer-bound acid-reactive unit. By the incorporation of ARMA, the sensitivity to extreme ultraviolet (EUV) radiation was increased by 2.4 times (the exposure dose for insolubilization was decreased by approximately 60%). The sensitivity enhancement is considered to have been caused by the acid catalytic etherification of ARMA through dimerization and/or with 2,2,2-trisubstituted acetophenone units.
New PSCAR concept promising high sensitivity resist overcoming problems of RLS trade-off, LER and stochastic defects (Conference Presentation)
In order to lower the cost of ownership of extreme ultraviolet lithography (EUVL) in high volume manufacturing (HVM), high sensitivity resists enabling high throughput are being investigated intensely. The concept that utilizes a Photosensitized Chemically Amplified ResistTM (PSCARTM) is a promising solution for achieving resist sensitivity without losing other required performance of the resist1-8. NEW PSCAR concept is promising high sensitivity resist overcoming RLS Trade-off, LER and stochastic defects. Main components of New PSCAR are precursor of photosensitizer (PPS), photo base generator (PBG), and chemically amplified resist (CAR). CAR is generally divided into two types: high and low activation types. The details of these two types of CAR have been investigated by many researchers9,10. Here, three main reactions of the NEW PSCAR based on high activation type CAR which is the current high-performance CAR: the process (1): EUV pattern exposure produces acids. The acids react with both quenchers (neutralization of acid-quencher) 11-14 and PPS (acid catalytic reaction of photosensitizer (PS) formation) 1-8 at room temperature. Much higher concentration of PS can be produced in NEW PSCAR  than others, because the quencher concentration in CAR and PSCAR is generally much higher than NEW PSCAR. The process (2): Both acids and bases are generated in NEW PSCAR through excitation of PS and PBG by UV flood exposure, because only PS and PBG have absorption bands at UV flood exposure wavelength. The NEW PSCAR can produce high concentration of latent acids with the optimum latent acid-quencher distribution before PEB. The acid catalytic chain reaction (3) has been widely investigated all over the world and are reviewed15. Gallatin showed no fundamental differences in simulations among ArF, EB and EUV CARs after latent acid image formation before PEB16. There are many factors to affect LER formation17. The simulations indicate that LER is inversely proportional to the square root of exposure dose16,18-20. Experimentally LER decreases with increasing exposure dose21-24. NEW PSCAR can produce very high concentration of acid participating in deprotection reactions at image boundary, which reduces LER. It has been widely accepted that the main cause of LER in state-of-the-art resists is a chemical inhomogeneity generated at the image boundary 16,25-28. This suggests that LER is proportional to the width of the intermediate region. Because the width of the intermediate region can be approximated by using the chemical gradient (the gradient of the concentration of chemical compounds that determine the solubility of the resist), LER is inversely proportional to the chemical gradient29,30. Stochastic defects such as missing and kissing contact holes must be eliminated for EUVL HVM. But the detailed contribution of many factors affecting stochastic defects and the solution are not made clear in CAR. But decreasing LER and keeping pattern size made by enough latent acid concentration with optimum latent acid-quencher distribution before PEB suppress stochastic defects. For example, enough acid concentration suppresses missing contact holes and both decreasing LER and keeping pattern size suppress kissing contact holes. NEW PSCAR concept is promising high sensitivity resist overcoming RLS Trade-off, LER and stochastic defects. References 1) Seiichi Tagawa, Akihiro Oshima, Satoshi Enomoto, “Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist (PS-CAR) Process”, Journal of Photopolymer Science and Technology, Vol. 26 (2013) No. 6, 825-830. 2) Seiichi Tagawa et al., Proc. SPIE. 9048, Extreme Ultraviolet (EUV) Lithography V, (2014) 90481S. 3) Seiji Nagahara et al., “Challenge toward breakage of RLS trade-off for EUV lithography by Photosensitized Chemically Amplified Resist (PSCAR) with flood exposure,” Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII, (2016) 977607. 4) Tomoki Nagai et. Al., “Novel high sensitivity EUV photoresist for sub-7nm node”, Proc. SPIE. 9779, Advances in Patterning Materials and Processes XXXIII, (2016) 977908. 5) Elizabeth Buitrago et al., “Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography,” J. Micro/Nanolith. MEMS MOEMS. 2016, 15(3), 033502. 6) Michael Carcasi et al., “Simulation and experimentation of PSCAR chemistry for complex structures”, Proc. SPIE Vol. (2017)10143. 7) Seiji Nagahara et al., Proc. SPIE, 10146 (2017) 101460G. 8) Seiji Nagahara et al., “EUV resist sensitization and roughness improvement by PSCARTM with in-line UV flood exposure system,” Proc. SPIE, 10586 (2018) 10586-5. 9) T. Kozawa and S, Tagawa, Appl. Phys. Xpress 2 (2009) 056503 10) T. Kozawa and S, Tagawa, Jpn. J. Appl. Phys. 49 (2010) 06GF02 11) K. Asakawa, T. Ushirogouchi, and M. Nakase, Proc. SPIE 2438 (1995) 563 12) W. D. Hinsberg et al., Proc. SPIE 3999 (2000) 148 13) K. Natsuda, T. Kozawa, K. Okamoto, S. Tagawa, Jpn. J. Appl. Phys. 45 (2006) L1256 14) K. Natsuda, T. Kozawa, K. Okamoto, S. Tagawa, Jpn. J. Appl. Phys. 46 (2007) 7285 15) T. Kozawa and S, Tagawa, Jpn. J. Appl. Phys. 49 (2010) 030001 16) G. M. Gallatin, Proc. SPIE 5754 (2005) 38 17) Summarized in T. Kozawa, S. Tagawa, J. Vac. Sci. Technol. B 25 (2007) 2295 18) G. M. Gallatin, P. Naulleau, D. Niakoula, R. Brainard, E. Hassanein, R. Matyi, J. Thackeray, K. Spear, K. Dean, Proc. SPIE 6921 (2008) 69211E 19) D. Van Steenwinkel, R. Gronheid, J. H. Lammers, A. M. Meyers, F. Van Roey, P. Willems, Proc. SPIE 6519 (2007) 65190V 20) D. Van Steenwinkel, R. Gronheid, F. Van Roey, P. Willems, J. H. Lammers, J. Micro/Nanolithogr. MEMS, MOEMS 7 (2008)023002 21) A. R. Pawloski, A. Acheta, I. Lalovic, B. L. Fontaine, H. J. Levinson, SPIE 5376 (2004) 414 22) V. Constantoudis, E. Gogolides, A. Tserepi, C. D. Diakoumakos, E.S.Valamontes, Microelectoron. Eng. 61-62 (2002) 793 23) P. M. Dentinger, L. L. Hunter, D. J. O’connel, S. Gunn, D. Goods, T. H. Fedynyshyn, R. B. Goodman, D. K. Astolfi, J. Vac. Sci. Technol. B 20 (2002) 2962 24) H. Yamamoto, T. Kozawa, A. Saeki, K. Okamoto, S. Tagawa, K. Ohmori, M. Sato, H. Komano, Jpn.J. Appl. Phys. 46 (2007) 6187 25) W. Hinsberg, F. A. Houle, J. Hoffnagle, M. I. Sanchez, G. M. Wallraff, M. Morrison, S. Frank, J. Vac. Sci. Technol. B 16 (1998) 3689 26) S. C. Palmateer, S. G. Cann, J. E. Curtin, S. P. Doran, L. M. Eriksen, A. R. Forte, R.R. Kunz, T. M. Lyszczarz, M. B. Stern, C. M. Nelson-Thomas, Proc. SPIE, 3333 (1998) 634 27) G. W. Reynolds, J. W. Taylor, J. Vac. Sci. Technol. B 17 (1999) 334 28) J. Shin, G. Han, Y. Ma, K. Moloni, F. Cerrina, J. Vac. Sci. Technol. B 19 (2001) 2890 29) T. Kozawa, H. Oizumi, T. Itani, S.Tagawa, “Relationship between Chemical Gradient and Line Edge Roughness of Chemically Amplified Extreme Ultraviolet Resist,” Appl. Phys. Express 3 (2010) 036501 30) C. Mack, “A Simple Model of Line-Edge Roughness,” Future Fab International, Issue 34 (2010)
Resist Fundamentals
icon_mobile_dropdown
Understanding the photoacid generator distribution at nanoscale using massive cluster secondary ion mass spectrometry
Xisen Hou, Mingqi Li, Michael J. Eller, et al.
As the semiconductor industry continuously pursues smaller and more advanced device nodes, improving the lithographic performance of photoresists becomes more critical and challenging. The homogeneity of the photoresist formulation components within the thin film, such as the distribution of photoacid generator (PAG) molecules, are critical factors influencing resolving capability and the sidewall roughness after development. However, there is still lack of fundamental experimental approaches to probe the distribution of these components at the nanoscale throughout the photoresist film. Herein, we present the use of a new methodology, namely, massive cluster secondary ion mass spectrometry (MC-SIMS), to determine PAG homogeneity on a 10-15 nm scale within a photoresist film. In comparison to conventional SIMS, of which the detection spatial resolution is limited to large domains and the data is aggregated prior to analysis, MC-SIMS bombards the sample with a sequence of massive Au400 +4 nanoprojectiles, each separated in time and space, collecting and mass analyzing the co-emitted secondary ions from each projectile impact. Each sample is analyzed with a large quantity (106-107) of individual projectile impacts within an analysis area 125 μm in diameter. Analysis of co-emission of these independent 106-107 mass spectra allows for identification of co-localized molecules within nanodomains ~10-15 nm diameter and ~10 nm in depth (the emission area of a single impact) from the film surface. This unique method therefore reveals spatial distributions of molecules at the nanoscale.

Using MC-SIMS methodology, we directly measured key factors influencing the PAG homogeneity at the nanoscale including (1) PAG concentration, (2) the nature of the polymer matrix, (3) the nature of the PAG, and (4) additives. We discovered that 85-95% of PAG salts aggregate at the nanoscale. The majority of the PAG aggregates are less than 10 nm in size and are highly homogeneously distributed within the polymer matrix in the film. Furthermore, the size of the PAG aggregates can be manipulated by additives through an ion-exchange mechanism.
Nanoscale polymer property measurement using single-molecule fluorescence (Conference Presentation)
J. Alexander Liddle, Muzhou Wang, Stephen Stranick, et al.
Polymeric resist materials are a critical part of the lithographic patterning process. Understanding their behavior, particularly at extreme dimensions becomes challenging: the presence of a rigid substrate or free surface, chemical interactions, and stochastic fluctuations can all play a role. Continuum models for polymer material behavior at small length scales not only become inaccurate, but also fail to capture the statistical variations that must be understood in order to determine what are the ultimate limits to producing defect-free structures. Experimental measurement of nanoscale heterogeneities in polymer properties is difficult. Many of the techniques that possess the required spatial resolution use energetic beams of electrons that rapidly damage soft materials, while x-ray or neutron scattering methods provide only ensemble average measurements. Individual fluorescent molecules, however, can yield a significant amount of information about their local environment. Measurements of the fluorescence lifetimes of suitably engineered fluorophores have long been used in the life sciences to probe local pH, and oxygen, or Ca2+ concentration. Lifetimes may also be sensitive to viscosity, giving information about local molecular configurations at nanosecond timescales. Measurements of fluorophore orientation, and rotational mobility, can indicate local molecular ordering and mobility, respectively. While the use of single-molecule fluorescence imaging methods in the life sciences has progressed rapidly, its use in materials science has been slower to develop, with only a handful of studies related to lithographic materials. One principal reason for this is that, in materials, fluorophore orientation is often fixed. Single-molecule images therefore have a complex, orientation-dependent structure, that, if not correctly accounted for, can lead to large errors in determining their position and orientation. Reducing the positional inaccuracies to the few-nanometer or better level, requires more sophisticated approaches to fitting single-molecule images and novel imaging hardware. With these approaches, both the location and orientation of individual fluorophores can be determined accurately. This information, when combined with single molecule lifetime measurements can, in principle, provide nanometer scale on the structure and dynamics of polymeric materials. I will discuss our progress in making accurate and precise measurements of fluorophore position and orientation in materials to enable high-resolution imaging, our development of a straightforward approach to determine how localization uncertainty and fluorophore labeling density together limit our ability to resolve nanoscale structures, how lithographic patterning enables us to partially overcome that limit, and how single-molecule orientation measurements can provide information on deformation in polymers at the 10 nm length scale. Finally, I will speculate on how measurement of single-molecule fluorescence lifetimes might provide information on local polymer heterogeneity at various stages of the image formation process in lithographic material systems.
Roughness power spectral density as a function of aerial image and basic process/resist parameter
Charlotte Cutler, Choong Bong Lee, James W. Thackeray, et al.
Linewidth Roughness (LWR) remains a difficult challenge for improvement in all resist materials. In previous work we focused on the impact of key components of LWR by analyzing the Power Spectral Density (PSD) curves which can be obtained using Fractilia’s MetroLER computational software. [1] By measuring the unbiased PSD (with SEM image noise removed), accurate assessment of PSD(0) (the low-frequency limit of the PSD) and correlation length (the length scale of the transition from white to correlated noise) is possible. We showed there was an important relationship between ArF resist frequency components and LWR through lithographic process (before and after a resist trim step) as a function of resist formulation. In this paper we will study how key frequency components such as PSD(0) and correlation length change as we vary basic resist properties such as diffusion. The impact of aerial image on LWR and its frequency components will also be studied with particular attention to how correlation length affects LWR as feature size decreases. We will also look at the impact of diffusion or resist blur on PSD(0) as a function of aerial image Normalized Image Log-Slope (NILS). Understanding the relationship between PSD(0) and correlation length and how to manipulate these variables to minimize LWR for different features is crucial for more rapid LWR improvement at different nodes. [1] Charlotte Cutler, et al., “Roughness power spectral density as a function of resist parameters and its impact through process,” Proc. SPIE 10587, Optical Microlithography XXXI, 1058707 (23 March 2018).
Pitch division photolithography at I-line (Conference Presentation)
This project, “Pitch Division Photolithography at I-line,” seeks to accomplish pitch multiplication by using a traditional 248 nm photoresist polymer in conjunction with a photo-acid generator (PAG) and a photo-base generator (PBG). This formulation can achieve a two-fold improvement in resolution without the need for new equipment or significant changes in processing conditions. The photoresist matrix used in this work is poly[4-[(tert-butoxycarbonyl)oxy] styrene] (PTBOC), which is employed in combination with a PAG in 248 nm resists. When exposed to light, the PAG decomposes to form acid which, upon post-exposure baking, deprotects multiple pendant groups on the PTBOC to produce hydroxyl groups, thereby changing its solubility. This polymer exhibits another key feature: the dissolution rate with respect to dose has a threshold-like response, meaning that below a threshold dose, the polymer will not appreciably dissolve in a developer containing tetramethyl ammonium hydroxide (TMAH), but above this dose, the dissolution rate increases several orders of magnitude. This behavior becomes vital at feature sizes that approach theoretical resolution limits where the aerial image near the photoresist becomes more sinusoidal. Because the dissolution rate is controlled by the acid content within the polymer matrix, it is possible to cross this dissolution threshold twice with increasing dose if the acid is somehow quenched at higher doses. A PBG is an easy way to achieve this goal. If a PBG is chosen such that it is decomposes more slowly than the PAG and is incorporated with a stochiometric excess, then this dissolution threshold may be crossed twice. The addition of a PBG generates three different regimes with respect to dose: At low doses, neither the PAG nor PBG will have appreciably decomposed and the resist remains insoluble in aqueous base. At medium doses, enough acid will be generated by the PAG to cross the threshold, with too little PBG decomposition to effectively quench said acid. At high doses, both the PAG and PBG have mostly decomposed and the net acid concentration will be below the dissolution threshold. If the relative rates of the PAG and PBG can be tuned such that these two dissolution thresholds properly match the sinusoid intensity profile, the resolution of patterns can be improved by a factor of two. Dr. Xinyu Gu previously demonstrated the feasibility of such a system for 193 nm tools [1]. In this work, we report several combinations of PAGs and PBGs that meet the above criteria and show promise for exhibiting pitch-division. In some cases, a photosensitizer was needed to enable the decomposition of the PAG. These combinations were tested by exposing a film to a given dose and then developing in an aqueous solution of TMAH. It was found that the relative dissolution rates closely match the ideals as described above. These combinations are ready for testing with an exposure tool to verify and optimize their function as a pitch division photoresist. Reference: [1] Gu, X. et al. “Photobase generator enabled pitch division: a progress report,” Proc. SPIE 7972, 79720F (2011).
Integration
icon_mobile_dropdown
Addressing challenges in the mitigation of stochastic effects
Towards realistic adoption of EUV technology, material/process induced defect must be considerable problem. Several excellent study have been introduced before and it mainly focused on the relation between defect number and pattern size and pattern pitch. Unfortunately, the study related defect transfer behavior haven’t been quite few, despite defect inspection is executed through top-down SEM.

In this study, latent defect on via hole pattern, especially, the behavior of invisible hole bottom area was focused on and we tied to clarify the exist of hidden missing defect utilizing unique RIE technique in hole image transfer onto under layer.
Exploration of EUV-based self-aligned multipatterning options targeting pitches below 20nm
S. Decoster, F. Lazzarino, D. Vangoidsenhoven, et al.
While the semiconductor industry has reached the high-volume manufacturing of the 7 nm technology node (N7), patterning processes for future technology nodes N5, N3 and even below, are being investigated and developed by research centers. To achieve the critical dimensions of gratings for these future technology nodes, we require multipatterning approaches, such as self-aligned double/quadruple/octuple patterning (SADP/SAQP/SAOP) and multiple litho-etch (LE) patterning, in combination with 193i lithography and even EUV lithography. These gratings need to be subsequently cut or blocked, which is typically done by one or more block masks. As the edge placement error (EPE) budget drastically decreases with decreasing critical dimensions, the standard LE block patterning scheme is not sufficient anymore. To relax the EPE budget, dedicated scaling boosters are required such as the self-aligned block scheme, which defines blocks in trenches, selectively to the neighboring trenches.

In this work we explore the different multipatterning options for lines and blocks at pitches below 20 nm. As such, we will demonstrate and compare three different patterning options to enable 16 nm pitch gratings: 193i-based SAOP, EUV-based SADP and EUV-based SAQP. Finally, we will also elaborate on a self-aligned patterning scheme which does not define lines and blocks sequentially anymore but integrates them in a mixed mode. This patterning approach (SALELE) makes use of two LE masks and two self-aligned block masks. We will present its development status at relaxed pitch (28 nm) and discuss its advantages for future technology nodes.
Self-aligned fin cut last patterning scheme for fin arrays of 24nm pitch and beyond
S. Baudot, A. Soussou, A. P. Milenin, et al.
In 5 nm FinFET technology and beyond, SRAM cell size reduction to 6 tracks is required with a fin pitch of 24 nm. Fin depopulation is mandatory to enable the area scaling, but it becomes challenging at small pitches. In the first part, each process flow is simulated in order to obtain a 3D model of a FinFET SRAM device. Layout dependent effects on silicon and process non-idealities are characterized in a second part and used to calibrate the 3D model. In the third part, a process sensitivity analysis is conducted to compare the impact of overlay and CD variations on various options.
Monolayer Materials in Device Fabrication
icon_mobile_dropdown
Area-selective atomic layer deposition of dielectric-on-dielectric for Cu/low-k dielectric patterns
Tzu-Ling Liu, Stacey F. Bent
Area-selective atomic layer deposition (AS-ALD) has received a great deal of attention in recent years because of its potential to provide a more robust and controllable fabrication process for next generation electronic devices. In this paper, we study selective deposition of metal oxides on Cu/low-k dielectric patterns. We demonstrate that the inherent growth rate of ALD films is higher on Cu than on low-k surfaces, which indicates the importance of using organic molecules as an inhibitor to prevent ALD growth on Cu surfaces if the goal is to achieve area-selective deposition of materials on lowk surfaces. We show that vapor-phase dodecanethiol (DDT) can be used as an inhibitor. DDT is selectively deposited on Cu surfaces and is effective at ZnO ALD blocking with selectivity greater than 90% after 100 ALD cycles (~17 nm). With the optimization of DDT deposition temperature and Al2O3 deposition conditions, the blocking ability of DDT against a more aggressive precursor is further improved and shows selectivity above 90% after 1.5 nm Al2O3 deposition.
Defectivity reduction in area selective atomic layer deposition by monolayer design (Conference Presentation)
Rudy J. Wojtecki, Magi A. Mettry, Noah Frederick Fine Nathel, et al.
Lithography faces an increasing number of challenges as errors in pattern overlay and placement become increasingly significant as scaling continues. The flexibility of removing a lithography step offers a significant advantage in fabrication as it has the potential to mitigate these errors. Furthermore, this strategy also relaxes design rules in semiconductor fabrication enabling concepts like self-alignment. The use of selective area atomic layer deposition with self-assembled monolayers that incorporate different side group functionalities was evaluated in the deposition of a sacrificial etch mask. Monolayers with weak supramolecular interactions between components (e.g. Van der Waals) were found to exhibit significant defectivity when depositing this material at and below 100nm feature sizes. The incorporation stronger supramolecular interacting groups in the monolayer design, such as hydrogen bonding units or pi-pi interactions, did not produce an added benefit over the weaker interacting components. However, incorporation of reactive moieties in the monolayer component enabled the subsequent reaction of a SAM surface generating a polymer at the surface and providing a more effective barrier, greatly reducing the number and types of defects observed in the selectively deposited ALD film. These reactive monolayers enabled the selective deposition of a film with critical dimensions as low as 15nm. The deposited film was then used as an effective barrier for standard isotropic etch chemistries, allowing the selective removal of a metal without degradation to the surrounding surface. This work enables selective area ALD as a technology by (1) the development of a material that dramatically reduces defectivity and (2) the demonstrated use of the selectively deposited film as an etch mask and its subsequent removal under mild conditions.
Selective spin-on deposition of polymers on heterogeneous surfaces
Selective deposition holds promise to simplify next-generation device fabrication and bring down economic cost. In this work, selectively depositing polymers on metal/dielectric patterns was achieved by spin dewetting, a phenomenon that refers to the dewetting of polymers during spin coating. Our strategy utilizes self-assembled monolayers (SAMs) to induce dewetting of polymers over some areas. Line patterns of Cu/SiO2 were investigated. A hydrophobic SAM, octyltrichlorosilane (OTS, Cl3Si–C8H17), was selectively formed on SiO2 in the presence of Cu to render SiO2 non-wettable. During a subsequent spin coating step, polymers dewet from OTS-functionalized SiO2 and coat Cu exclusively. The spin dewetting process is strongly dictated by the spin coating kinetics. A systematic study of the processing conditions revealed strong dependence of polymer film coverage on spin speed, solution concentration, polymer molecular weight, casting solvent, and SAM hydrophobicity.
Ultra-thin conformal coating for spin-on doping applications
As devices become ever smaller and more sophisticated, there is also a general need for creating high quality defect-free thin coatings of polymers on 3-dimensional wafer topography, for example, for shrinkage of the size of trench openings. To address this challenge, we developed a spin-on polymer brush material, which comprises of a dopant moiety with a universal adhesive dopamine end group. We demonstrate that the polymer coating is highly conformal and free of pinhole defects, even when only a few nm thick, or when coated over high aspect ratio over 200 nm deep trench topography. Our investigations demonstrate that the dopamine end group enables stable sub-10 nm thick conformal coatings on three-dimensional surfaces.

Furthermore, on acute 3-dimensional semiconductor topography, the creation of highly doped abrupt, ultra-shallow junctions with three-dimensional control are essential for successful source-drain contacts. In consideration of this need, we extended the above polymer brush concept further by incorporating a suitable implant dopant atom, such as boron, into the monomer structure. After conformal coating and a subsequent rapid thermal annealing process, the dopant atom is driven into the semiconductor substrate underneath the polymer film. This is potentially very useful for uniform all-around doping of 3-dimensional topography such as FinFETs or Nanowire-FETs. A high dopant dosage on silicon substrate with appropriate shallow implant characteristics was demonstrated for the end-functionalized dopant polymer brush, highlighting one of the promising applications of such conformal coatings.
Design of selective brush chemistry and surface functionalization for directed self-assembly of block copolymers (Conference Presentation)
Ji Yeon Kim, Natsuko Ito, XiaoMin Yang, et al.
Directed self-assembly (DSA) of block copolymers (BCPs) is one approach to the pattern density multiplication required to achieve high-volume manufacturing of the next-generation memory and storage devices. One important application for DSA is in manufacturing of nanoimprint templates for the next-generation bit patterned media. A hybrid chemo-/grapho-epitaxy DSA process has been developed that produced 5 nm line-and-space DSA patterns on a chromium hard mask surface. The guide lines for this process were produced by imprint lithography. The process requires a polar guide stripe, which is the trim-etched imprint resist, and a near neutral substrate, which is the etched chromium. This requires selective grafting of near neutral polymer brushes to the etched chromium and not to the etched imprint guidelines. This selectivity is one critical requirement for the process [1]. Orientation and alignment of line-and-space patterns that traverse through the entire BCP film were successfully employed to pattern the chromium hard mask. We have investigated the reactivity of etched chromium surfaces with various polymer brush chemistries and found that the choice of the end-functional groups, monomer structures, and grafting temperature all play significant roles in selective functionalization. The etched chromium surface was found to be more reactive with various polymer brushes than etched silicon under mild brush grafting conditions. Hence, lower grafting temperatures could be exploited for achieving selectivity of polymer brush to the etched chromium while not reacting with the etched imprint guidelines. Thus, several polymer brushes that form a thin layer of brush on etched chromium were found to modify the surface energy of the etched chromium without significant interaction with the etched imprint resist. Successful pattern transfer of 5 nm line-and-space patterns was achieved. 1. Lane, A. P., et al. ACS Nano (2017), 11 (8), 7656–7665.
Directed Self-assembly I: Joint Session with Conferences 10960 and 10958
icon_mobile_dropdown
Post-polymerization modification of PS-b-PMMA for achieving directed self-assembly with sub-10nm feature size
Takuya Isono, Kohei Yoshida, Hiroaki Mamiya, et al.
This paper describes the ester-amide exchange reaction for the facile and efficient post-polymerization modification of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) to produce high-χ/low-N PS-b-PMMA analogues that exhibit a microphase separation with features on a sub-10 nm scale. Various amines were used for the ester-amide exchange reaction to introduce a small number of methacrylamide units into the PMMA block of the parent PS-b- PMMA. A small-angle X-ray scattering analysis revealed that a tiny amount of the methacrylamide units led to a significant increase in the incompatibility between the blocks. Consequently, we obtained a lamellar microphaseseparated structure with a domain-spacing as small as 11.1 nm through the simple post-polymerization modification of low-molecular-weight PS-b-PMMA. More importantly, directed self-assembly for the modified PS-b-PMMAs was demonstrated using topographically prepatterned silicon substrates with a PS brush layer, thus highlighting the practical utility of the proposed method in next generation nanolithographic applications.
Directed Self-assembly II: Defectivity
icon_mobile_dropdown
Kinetics of defect annihilation in chemo-epitaxy directed self-assembly
Jiajing Li, Paulina Rincon-Delgadillo, Hyo Seon Suh, et al.
As a potential solution to next-generation nanolithography, directed self-assembly (DSA) of block copolymers (BCPs) is still restrained in high volume manufacturing primarily due to its defectivity issue. Though defects possess greater free energies than aligned morphologies and are highly energetically unfavorable, they can be kinetically trapped and persist for a long time during annealing. Understanding the kinetics of defect annihilation is crucial to revealing the mechanism of defect formation and to further reducing defectivity in DSA. In this work, we focus on two types of predominant defects in DSA——dislocation and bridge. Statistical analysis of experimental data reveals that dislocation annihilation follows power law, and dislocations are expected to be fully eliminated by long annealing time. On the other hand, bridge defects decrease exponentially with annealing time, and reach a plateau at long annealing time. We also study the impact of annealing temperature and film thickness on annihilation kinetics, and discover that thicker film shows lower bridge density yet significantly slower kinetics. Finally we discuss the impact of etching on bridge reduction. Though these findings are based on polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA), we anticipate they could be applied to other BCP platforms as well.
Pattern defect reduction for chemo-epitaxy DSA process
Makoto Muramatsu, Takanori Nishi, Gen You, et al.
Directed self-assembly (DSA) is one of the candidates for next generation lithography. Over the past years, many papers and presentation have been reported regarding DSA, and Tokyo Electron Limited (TEL is a registered trademark or a trademark of Tokyo Electron Limited in Japan and /or other countries.) also has presented the evaluation results and the advantages of each 1-8. Especially, the chemo-epitaxy process has advantages for the sub 20nm line and space patterns to apply to active area patterns for DRAM, fin patterns for Logic and narrow pitch of metal patterns. One of the biggest advantages of DSA lines is that the pattern pitch is decided by the specific factors of the block copolymer, and it achieves the small pitch walking as a consequence. On the other hand, the chemo-epitaxy process can be applied to the hexagonal close-packed arrangement holes 8. Those holes are expected to be the patterns for DRAM storage. In this paper, the latest results regarding the defect reduction work regarding chemo-epitaxy line and space pattern is reported. Especially, the defect density of the patterns that were transferred to spin on carbon (SOC) film is confirmed.
Defect mitigation in sub-20nm patterning with high-chi, silicon-containing block copolymers
Silicon-containing block copolymers are considered promising materials for high resolution pattern generation through directed self-assembly. The nonpolar organo-silicon moieties result in a high Flory-Huggins interaction parameter (χ) when paired with a polar block, allowing features well below 20 nm full pitch to be generated. In addition, the incorporation of silicon provides excellent dry etch selectivity under a variety of reactive ion etching conditions. However, similar to all block copolymer systems under development, achieving sufficiently low defect density remains a critical hurdle for implementation of directed self-assembly into high volume manufacturing. This work reports our progress towards this end, using a chemo-epitaxy flow to direct the assembly of poly(4-trimethylsilylstyrene-block-4- methoxystyrene), resulting in sub-20 nm full pitch line/space patterns. This process employs 193 nm immersion lithography to define the guide structure and is run on 300 mm wafers in a fab-like environment. Our efforts in understanding the possible root cause(s) of the dominant defect modes and reducing the total defect density of the flow will be described. This study includes research on the influence of various process parameters as well as the chemical compositions of the different materials involved, and their interactions with specific defect modes.
Accelerate the analysis and optimization of lamellar BCP process using machine learning
This paper presents how to use machine learning to accelerate the development of lamellar block copolymer process. The first part introduces an automated algorithm to measure lamellar CD-SEM images oriented to allow fast images screening, identify and select the most relevant chemical composition. The second part is the use of machine learning in the process development which is a data driven approach. This part is also divided in two sections, the first one for the prediction of the process, where having a set of experiments in a process window, a model is created so that the outcome from is estimated from different parameters. The second part is the estimation of the process window. These tools are oriented to assist process engineers in the process optimization which must be driven under expert supervision.
Student Session
icon_mobile_dropdown
ToF-SIMS analysis of antimony carboxylate EUV photoresists
Michael Murphy, Shaheen Hasan, Steven Novak, et al.
Time-of-Flight Secondary Ion Mass Spectrometry (ToF-SIMS) is used to evaluate the composition of nonvolatile photoproducts created by EUV photolysis of antimony carboxylate photoresists [R3Sb(O2CR′)2]. Dozens of potential photoproduct ions were identified using exact mass and 121Sb/123Sb isotopic ratios. Several oxygen-rich antimony ions were found to increase in abundance with exposure. Two methods were employed to identify photoproducts which create solubility contrast. First, samples were analyzed pre- and post-development to examine the effects of EUV exposure and developer solvent on secondary ion intensity. Secondly, changes in intensity of select ions were compared to dissolution contrast over a range of doses. Through these studies, ion intensities were found to correlate with dissolution contrast for several fragments, indicating their active role in creating negative-tone response.
Modeling of novel resist technologies
Luke Long, Andrew R. Neureuther, Patrick P. Naulleau
In response to the difficulties posed by the resolution, line edge roughness, sensitivity (RLS) trade-off to traditional chemically amplified resist (CAR) systems used for extreme ultraviolet lithography, a number of novel resist technologies have been proposed. In this paper, the effect of quencher loading on three resist technologies is analyzed via an error propagation-based resist simulator. In order of increasing novelty as well as complexity, they are: conventional CAR with quencher, CAR with photodecomposable base, and PSCAR 2.0, a CAR system with photodecomposable base as well as an EUV-activated UV-sensitive resist component. Simulation finds the more complicated resist systems trade in an increase in resist stochastics for improved deprotection slopes, yielding a net benefit in terms of line width roughness.
Imaging behavior of highly fluorinated molecular resists under extreme UV radiation
Hyuntaek Oh, Seok-Heon Jung, Jeong-Seok Mun, et al.
We propose a concept of organic small molecule-based EUV resists that do not require sub-stoichiometric ingredients. Based on our previous results with highly fluorinated electron-beam (e-beam) resists, we designed amorphous small molecules equipped with perfluoroalkyl ether (PFAE) chains. The synthesis of the prototype was carried out successfully, and its physical properties, imaging mechanism, and performance were all evaluated under e-beam exposure conditions. Although the prototype showed slightly low sensitivity to EUV irradiation, we were able to mitigate the issue by appending other cross-linkable functional moieties. The modified version showed decent negative-tone patterning performance under e-beam exposure and could form images under EUV irradiation and the pattern development step using highly fluorinated solvents.
Material Supplier
icon_mobile_dropdown
Evolution of lithographic materials enabling the semiconductor industry
Underpinning the Electronic Revolution over the last 50 years is the development of sophisticated patterning materials which have enabled the elegant semiconductor chip design. Of central importance is the photoresist material which has been custom designed for shrinking exposure wavelengths. Polymer chemistry, synthetic organic chemistry, and photochemistry have all played important roles in the design and development of photoresist materials. Ancillary lithographic materials have also been implemented to solve critical lithographic issues such as antireflection control, leaching and outgassing, and pattern transfer. It can be safely stated that without the creative inventions of lithographic materials by chemists and engineers the Electronic Revolution would not happen. This presentation will introduce the critical chemistry and engineering solutions that led to the development of these enabling lithographic materials.
Aqueous materials for advanced lithography (Conference Presentation)
Yi Cao, Tatsuro Nagahara, Taku Hirayama
EMD Performance Materials provides a broad material portfolio for photolithography, Chemical Shrink and EUV Rinse materials are two categories of aqueous materials enabling advanced patterning. Chemical Shrink materials generate an additional layer on the surface of photoresist pattern through chemical/physical interactions, resulting in finer trench or hole structures. The technique helps IC manufacturers improve process margin and reduce cost of ownership by relaxing the requirements for lithography. EMD has been engaged in development of the technology for over two decades, and introduced materials for multiple generations of lithography. The first generation Chemical Shrink material, AZ® R200 was commercialized for i-line and KrF applications around 2000. From then on, several commercial platforms were released targeting for ArF, ArF-immersion, and ArF NTD (Negative Tone Development) photoresists. Shrink amount depends on the material platforms and photoresists, it can be controlled from several nm to 100 nm with the process conditions, mainly shrink bake temperature. Well-controlled through-pitch proximity is one of the key advantages of the technique as well. Chemical shrink process is a straightforward and well-established in-track process. Not only smaller pattern sizes are achieved, effective DOF (Depth of Focus) is improved, but also surface smoothing of photoresist is expected. Rinse materials are a unique offering from EMD to alleviate capillary force hence mitigate pattern collapse in very fine photoresist pattern through reducing surface tension with novel surfactants. Based on the knowledge and know-hows learned during the development of rinse materials for ArF and ArF immersion lithography processes in the past decades, new material platforms have been developed to extend the technique to meet the ever more critical requirements in EUV lithography. AZ® Extreme 10 was commercialized as the world first rinse material dedicated for EUV lithography, designed for L/S (Line and Space) pattern of 22nm hp patterning. To further improve the compatibility with the latest EUV photoresists for finer pattern, 18nm hp and beyond, AZ® SPC-708 is newly commercialized in 2018. It is expected that AZ® SPC-708 helps reduce photoresist residues during development process in addition to its function of collapse mitigation. EMD Performance Materials is committed to providing novel solutions to confront the increasing technical challenges in advanced patterning.
Development of metal organic cluster EUV photoresists
Kazunori Sakai, Seok Heon Jung, Wenyang Pan, et al.
Extreme ultraviolet (EUV) lithography, using 13.5 nm radiations, is almost ready for high volume manufacturing. EUV lithography is expected to be the main technology for manufacturing leading-edge devices and continuous improvement of lithography performance is still needed. We have developed several metal oxide containing resists and recently focused on metal organic cluster photoresists with controlled size distribution. In this paper, material properties and lithography performance of our new metal organic cluster photoresists are discussed.
Advances in metal oxide resist performance and production (Conference Presentation)
Jason K. Stowers, Peter de Schepper, Michael Kocsis, et al.
Inpria is a leader in the development of high-performance photoresist materials for EUV lithography. By design, these photoresists enable patterning at extremely small pitches, exhibit high EUV absorption to reduce the photon shot noise otherwise amplified in conventional resists, and provide high etch selectivity to provide a large process window. Such characteristics derive from the metal oxide molecular cluster composition of the resists and the small, highly homogeneous building blocks this chemistry enables. We will present recent advances to Inpria photoresist platforms which have resulted in improved RLS performance, process stability, and photospeed tunability. We demonstrate the patterning capabilities for specific use cases in logic and memory applications, including the performance after etch. For patterning on an NXE:3300, the materials deliver large process windows both for line/space features at 26nm pitch needed for logic metal patterning and for the 43nm pitch hex pillar arrays required for DRAM applications. Pilot-scale batches of Inpria’s resists are routinely produced on our production line. We will review our manufacturing facility and the capabilities of our formulation process scaled to support the production requirements of leading device manufacturers.
Expanding the lithographer's toolkit to reduce variability: filtration considerations
V. Goel, A. Wu, J. Braggin
There are many points in the photochemical ecosystem where variability can be addressed with chemistry and hardware solutions. From the purification of resist components to the final post develop rinse, there are many points at which defects can be created or eliminated. As the possible combinations of chemistries increase to achieve ever-shrinking nodes, the portfolio of photochemical filtration to reduce defects, variability, and downtime must also grow.

Suppliers to OEMs (original equipment manufacturers) and photochemical manufacturers are constantly innovating to ensure their solutions address new defect challenges. In the 1980s, when the minimum feature size was approaching 1.0 μm, 0.2 μm filters were used to eliminate the largest particles in photochemical manufacturing. As the industry pursues the sub-7 nm node, conventional filtration technology is challenged to meet industry needs. Membrane pore size reduction alone is no longer a tenable approach to meet evolving process requirements, and creative approaches to eliminate defects must be developed to meet these challenges.

This overview will provide process engineers insight into the complexity of filtration to reduce defect variability and downtime. The many factors that impact filtration efficacy will be reviewed, including complete filter design, material selection, membrane morphology, wettability, and fluid dynamics. New technological approaches under consideration will be discussed. Data will be presented to demonstrate promise of these new approaches and where they could lead us in the future.
Start-up performance and pattern defectivity improvement using 2nm rated nylon filter developed with lithography filtration expertise
Toru Umeda, Eric Shiu, Takehito Mizuno, et al.
A new 2 nm rated Nylon filter was developed to have features required for lithography filtration process such as finer pore size, extended contact time for adsorption enhancement and updated cleanliness for faster start-up. The contact time is extended by 1.6 times of the 10 nm rated product in the same sized capsule filter. Finer pore size is achieved and demonstrated by the removal performance of gold nanoparticles. For start-up performance, particles and metal cleanliness were improved.

To validate the features applied for the new 2 nm Nylon filter, on-wafer tests are conducted in comparison to conventional product such as 5 nm Nylon filter. Filter start up performance is tested with KLA Tencor Surfscan SP5XP inspection on solvent spin coated Si wafer. For bridge defects, 40 nm half pitch after development pattern defectivity with ArF immersion lithography is tested. The new 2 nm rated Nylon 6,6 filter performed best for all the tests. Cleanliness probably played a role in start-up performance. Sieving, which is related to filter pore size was effective in resist coating defectivity. And both the finer pore size and hydrophilic adsorption are effective in after development inspection at 40 nm half pitch L/S pattern, which is nearly the theoretical limit of the ArF immersion lithography.
Underlayers
icon_mobile_dropdown
High temperature spin on carbon materials with excellent planarization and CVD compatibility
The use of multilayer processes in advanced ArF patterning schemes continues to increase as device critical dimensions shrink. In a multilayer stack, underlayer materials play a critical role in terms of gap fill, planarization and etch resistance to enable high resolution and high aspect ratio patterning. The emerging quadlayer imaging process requires a unique spin on carbon (SOC) layer with high thermal stability to withstand subsequent deposition of an inorganic hard mask layer, commonly deposited via chemical vapor deposition (CVD). The thermal stability requirement associated with CVD compatibility largely limits the options of organic materials, which mostly decompose in the 300-450°C range. Thermal shrinkage and coefficient of thermal expansion (CTE) differences between layers are other key considerations in designing a high temperature stable, CVD compatible SOC material. Furthermore, the SOC polymer resin must be compatible with solvents and spin on products commonly used in the FAB. This paper highlights the development of a novel CVD compatible HT-SOC platform with excellent thermal stability (>500°C) and good FAB drain line compatibility. In addition, this polyaromatic SOC platform shows various improvements compared to traditional Novolacbased SOC, including reduced shrinkage, good gap fill, improved planarization, and low defectivity. Robust formulation design, high quality raw materials, and advanced metal removal technique synergistically enabled manufacturing of multigallon HT-SOC product with high quality. Application specific versions are available for more demanding planarization requirement and applications that require good adhesion to metal substrate. In addition, a newly developed method for quantitative measurement of long-range planarization was used to validate new material designs aimed at improving planarization.
Improved hemicellulose spin on carbon hardmask
Kazuyo Morita, Kimiko Yamamoto, Hiroki Tanaka, et al.
Regarding 3D semiconductor devices, one of difficulties is hardmask process for deep memory holes because of expensive process cost. To overcome this issue, cost effective hardmask process concept using hemicellulose SOC is newly proposed by spin-coat process and improved hardmask technology of hemicellulose SOC (made from bio-based green chemistry material). In this study, deep holes of micron scale were made after under layer RIE using hemicellulose SOC and reactive hemicellulose hardening process (R2H). RIE selectivity was extremely improved up to 65 by optimization of R2H strong process. The results show the feasibility of cost effective deep memory hole process for 3D devices. Additionally, EUV patterns (Hole CD of 24nm and L/S of hp18nm) in under layer were obtained by Hemicellulose SOC and R2H. Its aspect ratio was 15. The fine patterning results show a big potential for next generation memory and logic device processes.
Towards pure carbon: ultra-high carbon fullerene based spin-on organic hardmasks
A. G. Brown, G. Dawson, A. L. McClelland, et al.
Irresistible Materials has previously introduced the HM340 and HM940 series of fullerene based spin-on carbon hardmasks, and reported on material characterization, including very high carbon content and high thermal stability. The materials have a low Ohnishi number providing high etch durability and the low hydrogen level allows for high-resolution etching without wiggling. In order to further increase thermal stability and etch resistance several new formulations are under development, including fullerene only hardmask materials.

Here we present the latest results for our more conventional HM940 series, avoiding the drain test issues encountered with higher concentration HM340 formulations, together with new and updated characterisation results for the more advanced formulations.
Development of novel thick spin-on carbon hardmask
Byeri Yoon, Seungwook Shin, Youngmin Kim, et al.
As the semiconductor manufacturing industry develops into the cutting-edge technology, the thick and high etch resistant material is required. Amorphous carbon layer (ACL), currently widely-used deposition-type film, can meet the requirement for etch resistance, but it has intrinsic problems such as darkness, defect control difficulty and low throughput which give limits to its further application. In order to overcome these problems, a novel thick spin-on carbon hardmask (SOH) is introduced in this paper. We designed the material from molecular level to achieve high etch resistance, good optical property, long shelf life and high thickness. Up to 3 micron-thick films with high transparency and etch resistance were successfully fabricated by spin-coating process. With long-enough shelf life for usage, we expect that this novel SOH can expand the possibility of spin-on material.
Poster Session: DSA
icon_mobile_dropdown
Micro-phase separation behavior study of the same system of a novel block copolymer (PS-b-PC)
Baolin Zhang, Weichen Liu, Zhengping Zhang, et al.
A novel high-χ block copolymer polystyrene-b-polycarbonate (PS-b-PC) of the same system with three samples (1, 2, 3) which contain an active -NH- group on the polymer backbone between the PS block and the PC block have been successfully synthesized. It is believed that the hydrogen bond between -NH- and Si-OH (silanol) plays a dominant role which is as a real driving force to promote vertical micro-phase separation under the neutral layer free condition. The periods in which the samples 1, 2 and 3 form a vertical layer micro-phase separation are 10.6, 11.2 and 12.3nm, respectively. Though experiments, the best micro-phase separation process conditions were found (annealing temperature 160-165°C; annealing time 10 min) and the relevant parameters of PS-b-PC are also given. The results show that sample 2 of high-χ (0.19) has better phase separation performance, lower line-edge roughness (LER) and line width roughness (LWR) than the other two samples. These diblock copolymer samples successfully achieved the directed self-assembly (DSA) of PS-b-PC under the condition that the designed silicon substrate groove did not need any neutral layer. Compared with the previously reported methods to orientation control BCPs with χ value and small vertical micro-phase separation while short-term thermal treatment demonstrates PS-b-PC as a rare and valuable candidate for advancing the field of nanolithography. This work will provide extremely important theories, valuable information and insights that apply to nanowire patterning by DSA in state-of-the-art semi-conduction devices.
Influence of PDI and composition ratio for micro phase separation about PS-b-PMMA block copolymer
Terumasa Kosaka, Ryota Matsuki, Yukio Kawaguchi, et al.
We have successfully synthesized polystyrene(PS)-b-polymethylmethacrylate (PMMA) block copolymer that is precious with controlled molecular weight, PDI and composition ratio by living anionic polymerization method using large-scale apparatus. In addition, the influence of PDI, composition ratio and composition distribution for micro phase separation about PS-b-PMMA block copolymer is investigated. The results show that PDI is the major factor for defect, while composition ratio and composition distribution has influence for morphology. As PDI increase 1.06 to 1.13, also defects are increased a lot. As composition ratio of PS increase 51% to 60%, micro phase separation structure was changed from lamella to gyroid. Moreover, we surmised that these properties have a boundary of influence towards micro phase separation. Therefore, is necessary to control these properties by living anionic polymerization in order to use DSA materials in semiconductor process.
Phase behavior of polymer blend materials for polystyrene-b-polycarbonate (PS-b-PC) block copolymers and corresponding homopolymer polystyrene
Block copolymer directed self-assembly (DSA) is a promising technique to print Contact Holes/Vias with polymer blend materials or block copolymers. Polymer blend material is to mix block copolymer and homopolymer. In this paper, the materials we use are polymer blend materials with polystyrene-b-polycarbonate (PS-b-PC) block copolymer and corresponding homopolymer polystyrene. The advantage of polymers is that they do not require complex molecular design and can form cylindrical structures as long as the proportions are right. The polymer can be mixed and used immediately without waiting time. Based on the PS-b-PC which can form a stable lamellar structure, we achieve a controllable cylindrical structure by mixing the two materials and controlling the concentration. After multiple comparison experiments, the phase segregation results of PS-b-PC with PS ratio of 2:1 and 1.5:1 were better, with the diameter about 12.7nm and 14.1nm, and the pitch about 20nm and 22.7nm, respectively.
Block copolymer line roughness and annealing kinetics as a function of chain stiffness
Caleb L. Breaux, Peter J. Ludovice, Clifford L. Henderson
Block copolymers (BCPs) can phase separate to form periodic structures with small spacings that can be used to form a template. This template can then be used to pattern higher densities of features onto a substrate, thus extending optical lithography. Thin films of BCPs can have their features guided via chemoepitaxy by employing underlayers with a patterned chemical preference towards one of the blocks. Line edge roughness (LER) is defined as the spatial variation of the interface between the two blocks and this can be transferred to the features patterned by the BCP template. Electrical components with high LER in their features are known to have performance issues. Here, a molecular dynamics simulation was employed to model BCP chains in a thin film state. The BCP chains have an angle potential acting on them described by the two parameters kθ and θeq. Stiffness was varied by changing either the chain's resistance to bending (kθ) or how rod-like the chain is (θeq). It was found that while LER is unaffected by varying either parameter, line width roughness (variation in the width of a lamellae) increased with an increase in either parameter, though by an insignificant amount. Kinetic thin film simulations showed that increasing kθ increases the timescale for molecular diffusion while increasing θeq. potentially decreases the energetic barrier between a defect and defect-free state.
Mitigation of line edge roughness and line width roughness in block copolymer directed self-assembly through polymer composition molecular weight manipulation
Jakin B. Delony, Peter J. Ludovice, Clifford L. Henderson
The semiconductor community is well aware of the challenges that exist in developing lithographic methods that can pattern features at sub-20 nm periodic feature spacing (pitch, L0). Optical lithography already utilizes complex multiple patterning schemes to overcome diffraction limitations at 193-nm exposure wavelengths, and the delayed insertion of EUV lithography will likely require the use of multiple patterning or other assistive processes to further reduce the achievable feature sizes. An alternative to these techniques employs the directed self-assembly (DSA) of block copolymers. Block copolymers (BCPs) can naturally micro-phase separate into morphologies such as lamellae, cylinders, spheres, and gyroids at length scales down to sub-10 nm dimensions. Using the ability of BCPs to micro-phase separate in conjunction with alignment methods such as graphoepitaxy and chemoepitaxy to produce well-ordered structures, a process referred to as DSA, offers a possible method for producing sub-20 nm features in conjunction with optical patterning processes at greatly reduced cost and complexity. One of the many challenges in implementing line-space type DSA processes is the lack of methods for effective modulation and tuning of the pattern pitch (L0) produced by a given BCP. Previous studies have shown that blending homopolymer into the BCP thin films can allow for tuning of both: (1) L0 to be larger than that provided naturally by the BCP's molecular weight (MW) and (2) the relative size line-space size ratio. However, this tuning ability comes at the expense of increased line edge roughness (LER) and line width roughness (LWR). It has also been shown that either higher or lower MW BCP can be blended into a primary BCP in order to modulate and tune the pattern pitch produced from the BCP mixture, but the effects of this BCP blending on pattern LER and LWR have not been explored or reported in detail. In this study, coarse-grained molecular dynamics simulations of BCP DSA on a chemoepitaxial underlayer were implemented to characterize the impacts that blending controlled amounts of two different MW BCPs together have on DSA pattern LER and LWR. The blends shown here had LER and LWR values as much as 20% higher than those of pure, monodisperse BCPs; however, reducing the MW difference between the 2 BCPs could reduce this effect.
Poster Session: EUV
icon_mobile_dropdown
Defect conscious approaches in EUV patterning
The aim of this study is to lessen the number of defects by the simultaneous analyses of detection result via the lithography process and etch transfer performance. While defect requirements aren’t as stringent for memory devices, logic devices must be defect-free. Currently, a defect which comes from the process or material can only be detected by top-down inspection approach, however, it is difficult to detect a defect such as underlying hole. To develop 5-nm logic node, a hole pattern 15 nm or smaller is required. Identification of failure at the bottom of the hole becomes more challenging. Nevertheless, the process window margin by the amount of dose/focus is not fully explored to find the defect occurrence tendency. So far, there are reported analyses on the scaling of pattern and pitches. In this paper, we report the process margin spotted on the amount of dose and the focus depth and the comprehensive process window including a view of defect-free.
Robustness of interactive pattern fidelity error as a quality metric for integrated patterning
Multi-patterning, like LE and SAMP, has been in production for several years. It is expected to remain a standard in patterning, even in the case where industry adopts EUV photo lithography. As scaling continues, the precision of pattern placement remains challenging.

Edge Placement Error (EPE) has been proposed to define the requirements of a patterning process. Many authors have created statistical models for EPE, and gathered statistical data for CD and overlay (OVL), to make predictions about future technology specifications1-5. This work makes the following contributions:
    Emphasis on large amount (63K) of on-product measurements
    Use of ANOVA table to assess the hypothesis that a contender process is better than a POR process To differentiate our work, we have used the stochastic variable IPFE (Interactive Pattern Fidelity Error), which is an indicator to quantify the quality of on-wafer edge placement accuracies in multi-patterning6. In our previous paper, we have studied how overlay, LCDU and pitch walk factor into the IPFE budget7. In this work, we focus on experimental verification of the expected relationships between LCDU, overlay and CD variation, applied to the case of SADP (block on spacer):
    We re-confirm that population ‘blocks-on-gap’ have a worse IPFE performance than ‘block-on-core’
    We determine experimental behavior of IPFE vs line CD, block CD, and overlay (w/o assumption for any model) From this exercise, we can conclude that this IPFE indicator is a robust metric for the managing quality of any integrated patterning scheme.
Oligomers of MORE: Molecular Organometallic Resists for EUV
Shaheen Hasan, Michael Murphy, Maximilian Weires, et al.
The EUV photoproducts of antimony carboxylate photoresists [R3Sb(O2CR′)2] are used to evaluate a possible free radical exposure mechanism by studying the change in molecular weight distribution with dose. We demonstrate the redistribution of carboxylate ligands across the metal centers in solution and use this property to create a statistical mono-olefin resist system with blended solutions of olefinic and non-olefinic antimony compounds that limit crosslinking and improve solubility of the photoproducts. Through gel permeation chromatography (GPC) analysis, we demonstrate the formation of high molecular weight oligomers with exposure dose and provide further support for the free-radical polymerization mechanism.
Radical sensitive zinc-based nanoparticle EUV photoresists
Zirconium- and hafnium-based nanoparticles demonstrated good patterning behavior in deep-ultra-violet (DUV), electron-beam (E-beam) and extreme ultra-violet (EUV) lithography. Among these Zr- and Hf-based hybrid nanoparticles, the methacrylic acid (MAA) modified zirconium oxide nanoparticles1 (ZrO2-MAA-NP) give out the best over-all-performance: 26 nm lines are obtained at 4.2 mJ/cm2. However, both Zr and Hf are relatively low EUV absorbing metals2, and integration of high EUV absorption elements, such as Zn, is considered to be a more promising route to further improve lithographic performance under EUV radiation. Zinc-based nanoparticle photoresists, possessing ultra-small size, have exhibited promising sensitivities and better resolution. Here, we combined methacrylate ligand and high EUV absorption element Zn, to demonstrate a novel zinc oxide-based nanoparticle photoresist using a photo-radical generator (PRG). Compared with conventional photo-acid initiated nanoparticle-based photoresists, a better resolution and sensitivity has been found with the addition of photo-radical generator (PRG). This unique behavior is promising to provide new possibilities for rapid three-dimensional (3D) -printing.
Poster Session: Filtration
icon_mobile_dropdown
An exploration of the use of fluoropolymers in photofiltration
Advanced lithography techniques relying on innovations in scanner, track, and material technologies have been a consistent driver of Moore’s Law. As these innovations transition from laboratories to factories, all members of the lithography value chain must adapt. Filtration technology has relied upon a subset of carefully matched materials to filter lithographic materials. The introduction of new materials for emerging lithography techniques creates the opportunity to seek alternatives to ultra-high molecular weight polyethylene (UPE), Nylon, and polypropylene.

Fluoropolymers, such as polytetrafluoroethylene (PTFE) and perfluoroalkoxy alkane (PFA), have been used widely in the fab to filter chemistries that require instant surface wettability and high flow rates. These requirements now align more closely with today’s leading-edge lithography materials. After a review of the motivation behind choosing new filtration materials in the lithography sector, this paper will identify the critical material attributes, specific design considerations, and the importance of membrane surface technologies, beginning in the photoresist manufacturing process. Data presented will include laboratory studies of fluoropolymer membranes in common solvents, on-wafer defect data, and bulk filtration manufacturing data, all showing the match between fluoropolymer filters and photolithography materials.
Bridging the defect gap in EUV photoresist
Continued momentum in the development of EUV photolithography toward high volume manufacturing has driven the evolution of increased photoresist purity requirements. Further scaling will intensify the challenge to improve inline yield and reliability performance. The composition of EUV photoresist materials requires careful compositional balance and stability to ensure expected lithographic performance. It is therefore critical to understand and assess interactions between photomaterials and the many touchpoints along the entire value chain to maintain the purity and integrity of these materials.

Filtration technology is an important part of maintaining a material’s purity. When choosing a filter, there are many factors to consider, starting with the membrane material. For instance, nylon filters effectively remove polar polymers through an adsorption mechanism. Particulate contaminants are often removed by size-exclusion, mostly commonly observed with certain UPE (ultra-high molecular weight polyethylene) membranes. As lithography materials change and the smallest defects become even more challenging to detect, filtration technology innovation, such as the development of OktolexTM, is needed to meet the most stringent defect targets. In this paper, a tailored filter is introduced to enhance filtration performance and address specific defect sources in EUV photoresists. Results and possible mechanisms of the defect reduction will be discussed.
Filter technology developments to address defectivity in leading-edge photoresists
ArF lithography is the primary technique used in leading edge semiconductor fabrication. However, as lithographers attempt to create manufacturable processes for N7 and future nodes, they are challenged to achieve improvements in cost of ownership and productivity. One means to reduce cost of ownership is to reduce photolithography layers, which can be achieved with EUV lithography. Chemical manufacturers are struggling to solve stochastic issues that evolve with the use of EUV lithography, as well as develop the many complementary materials required to enable the technology. Conventional filters such as Nylon and UPE (ultra-high molecular weight polyethylene) have been used in manufacture of photochemicals and new filtration technologies must be developed to innovate along with chemical suppliers.

Entegris has recently developed several innovative membranes: a next generation UPE and OktolexTM. The next generation UPE overcomes the trade-off between flow rate and pore size, while also being compatible with a range of chemistries. OktolexTM selectively removes defects based on tailored membrane modification technology, further addressing defect sources that come from newly formulated chemistries.

In this paper, these innovative technologies are introduced to address the challenges of advanced photoresist defectivity by enhancing filtration performance. Results and possible mechanisms of defect reduction will be discussed.
A new tailored point-of-use filter to reduce immersion lithography downtime and defects
Aiwen Wu, Annie Xia, Hareen Bayana
Nanoscale patterning defects continue to challenge yield performance of photolithographic processes. It is well known that applying point-of-use (POU) filtration to patterning chemistries is effective for defect reduction. While POU filtration can remove contaminants from process chemistries, the filter materials of construction could adversely interact with the chemistry, resulting in a long filter flushing time or poor lithographic performance. Historically, the most common materials used to manufacture filters for POU photochemical filtration are ultrahigh molecular weight polyethylene (UPE) and Nylon. UPE membranes have outstanding chemical compatibility and enable sub-5 nm filtration, but can struggle to quickly reach baseline defectivity when trying to remove air from the smallest available pores. Nylon membranes provide additional non-sieving retention capabilities that can be instrumental in reducing wafer level defects without further reducing membrane pore size, but are not compatible with acidic chemistries.

To address the demand for new chemistry compatibility, reduced defectivity and increased productivity, Entegris has tailored a membrane as part of its OktolexTM family of technologies to address demanding lithography defectivity performance targets. The new membrane is cleaner, more retentive, and has an enhanced non-sieving particle capture capability when compared to a standard Nylon membranes. In an evaluation of the newly developed membrane using 45nm line/space patterning, the new membrane significantly outperformed both UPE and Nylon filters in microbridging defectivity. In addition, the new membrane also achieved baseline significantly faster than the other filters.
Poster Session: Fundamentals
icon_mobile_dropdown
Study of outgassing from the ArF CA chemically amplified resist ArF (193 nm) exposure
Hiroko Minami, Yoko Matsumoto, Atsushi Sekiguchi, et al.
In recent years, we have seen growing numbers of reports on problems associated with outgas generated from resists during ArF exposure, including contaminating of the exposure equipment lens. Scanner manufacturers have apparently begun taking countermeasures—for example, establishing criteria for outgas generated by resists during exposure. In the near future, resist manufacturers will likely be required to attach documents regarding outgassing to their products at the time of shipment. In our earlier studies, we tried to establish methods for evaluating outgassing from KrF resists during KrF (248 nm) exposure. This paper examines an approach to evaluating outgassing from ArF chemically-amplified resists during ArF exposure, with a special focus on sulfate ions (SO42-) derived from PAG, based on the outgas analytical techniques that we have built up to date. We used ion chromatography (IC) as the method of analysis.
Contact hole shrink of 193nm NTD immersion resist
Joshua Kaitz, Janet Wu, Vipul Jain, et al.
Miniaturization of lithographic feature sizes via shrink technologies is under development in order to extend 193nm immersion lithographic capabilities and achieve sub-20nm critical dimensions (CD) in integrated circuit manufacturing before extreme ultraviolet lithography comes online. It was found that precisely controlled polymers comprising a grafting unit and a shrink unit are capable of reducing pattern dimensions formed in negative tone development (NTD) photoresists. Fundamental studies were pursued regarding the type of grafting chemistry, the shrink monomer and polymer backbone choice, and differences between polymer architectures. Mechanistic studies demonstrated that shrink amount could be tuned by choice of monomer, polymer molecular weight, and choice of grafting unit. These studies permitted the development of several generations of grafting polymer platforms to meet a range of desired CD shrink targets from less than 10nm shrink to 30nm shrink on contact hole or line/space patterns. The shrink technology further exhibits improved process window compared to optical lithography at the same CD and low defectivity, highlighting the use of this technology in advanced semiconductor processing nodes.
Poster Session: Underlayer
icon_mobile_dropdown
Ordered polymer-based spin-on dopants
Bhooshan C. Popere, Peter Trefonas, Andrew T. Heitsch, et al.
Conventional doping of crystalline Si via ion implantation results in a stochastic distribution of doped regions in the x-y plane along with relatively poor control over penetration depth of dopant atoms. As the gate dimensions get to 10 nm, the related device parameters also need to be scaled down to maintain electrical activity. Thus highly doped abrupt, ultra-shallow junctions are imperative for source-drain contacts to realize sub-10 nm transistors. Uniform ultra-shallow junctions can be achieved via monolayer doping, wherein thermal diffusion of a self-limiting monolayer of dopant atomcontaining organic on Si surface yields sub-5 nm junctions. We have extended the use of organic dopant molecules in the monolayer doping technique to introduce a new class of spin-on polymer dopants. In effect, these new spin-on dopants offer a hybrid between the monolayer doping technique and traditional inorganic spin-on dopants. We have been able to uniformly introduce p- and n-type dopants with doping efficiencies comparable to the monolayer doping technique. Control over junction depth can be easily achieved via optimizing annealing temperature and time. Concurrently, sequestering the dopant precursors within the cores of block copolymer micelles allows us to achieve precise control over the spatial positions of dopant atoms in all three dimensions owing to the high periodicity of block copolymer domains on the 10-100 nm length scale.
Development of new maleimides applied to spin-on carbon hardmask with characteristics of high heat resistance and good planarization
Junya Horiuchi, Takashi Makinoshima, Takashi Sato, et al.
We had developed various Polyphenols and Xanthene derivatives such as NF7177C and NF0197 which showed high heat resistance.

In this paper, we reported on new mareimide derivatives named “NeoFARIT N0XX (NFN0XX)” series which showed the highest heat resistance of our SOC materials [1].

To increase heat resistance drastically, we focused on Polyimides’s structure. Generally speaking, polyimide resin shows the highest heat resistance in typical polymer. Introducing maleimide structure also resulted in increasing heat resistance of SOC materials drastically. For example, NeoFARIT N0XX” series show very slight weight loss to 400°C. Although the weight loss of NF0197, which showed the highest heat resistance in our phenol materials, was 4.7% at 400°C in the air in TG-DTA analysis, those of NFN001 and NFN005 were less than 1% in the same condition. Moreover, the weight loss of NFN001, NFN005 and NFN006 at 450°C in the air was less than 5%. While these materials showed highlighted heat resistance, they showed poor solubility in PGME and PGMEA. Then, we tried to improve the solubility of NFN0XX and developed NFN009 and NFN010 whose solubility in PGMEA was 30%. NFN009 showed not only good solubility in PGMEA but also high heat resistance comparable to NFN001, NFN005 and NFN006.

We also try to improve the heat resistance of NFN0XX and developed NFN011 and its cured film showed slight shrinkage at 450°C bake.
New silicon hard mask material development for sub-5nm node
New spin-on silicon hard mask (Si-HM) material containing Si-C structure in main chain was developed to meet EUV lithography performance, etch requirements and non-lithography patterning applications at sub 5 nm node. New Si-HM material can be used as an alternative to traditional polysiloxane Si-HM. It showed 2.5X high resistance for oxygen etching compared to polysiloxane Si-HM structure due to low electronegative gap and higher silicon content. It can be chemically modified with various functional units, and photoresist adhesion control would be expected to improve. We also observed sensitivity improvement from EUV lithography tri-layer patterning process including new Si-HM. Wet strip-ability with DHF and refractive index at 193 nm were changed significantly for this new Si-HM before and after UV irradiation under air. It also showed excellent gap-fill performance at narrow pattern dimensions on our patterned wafers.
Application of downstream plasma generated radical methylation treatment to passive amorphous Si surface from TMAH etching during lithography process
Qi Zhang, Haochen Li, Xinliang Lu, et al.
Relentless semiconductor device scaling relies on lithography technology advancement. Patterning films including hardmask, anti-reflective coating (ARC) and photoresist (PR) materials continue to evolve, and more underlying materials are exposed in increasingly complex 3D device structures. As a result, industry is continuously seeking solutions to integrate new patterning films with different underlying materials and structures, and surface treatment for materials protection plays an increasingly important role in process integration. In this paper, we present a radical based surface methylation process. The novel surface methylation treatment process increases surface wetting angle in preparation of patterning film coating, and can effectively protect various sensitive underlying materials. The versatile technology has many potential applications in 3D device fabrication, e.g. a new adhesion promoter for ARC/PR coating.
Charge dissipation by use of a novel aqueous based quaternary ammonium compound for use in electron beam lithography on non-conductive substrates
Gerald Lopez, Glen de Villafranca, Grant Shao, et al.
Electronic beam lithography (EBL) is commonly used for patterning at the nanoscale by way of a focused electron beam. This process can lead to charge accumulation on the surface of the resist when used in conjunction with non-conductive substrate materials, impacting lithographic quality producing egregious shape placement inaccuracies. Current practice requires the use of a deposited metal or conductive polymer film to facilitate charge dissipation at the surface. Such films are often unstable, incompatible and/or can be difficult to remove after exposure. This paper presents the findings of a study of a novel aqueous based quaternary ammonium compound for use in EBL for charge dissipation on non-conductive substrates. This compound was found to effectively prevent charge accumulations across a broad range of resist materials while remaining highly stable at room temperature and easily removed with deionized water or isopropanol after EBL exposure.