Proceedings Volume 10809

International Conference on Extreme Ultraviolet Lithography 2018

Kurt G. Ronse, Eric Hendrickx, Patrick P. Naulleau, et al.
cover
Proceedings Volume 10809

International Conference on Extreme Ultraviolet Lithography 2018

Kurt G. Ronse, Eric Hendrickx, Patrick P. Naulleau, et al.
Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 23 November 2018
Contents: 17 Sessions, 45 Papers, 41 Presentations
Conference: SPIE Photomask Technology + Extreme Ultraviolet Lithography 2018
Volume Number: 10809

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 10809
  • Plenary Session
  • EUV Scanner and Source
  • EUV Process Control
  • EUV Mask Blanks: Joint Session with conferences 10809 and 10810
  • EUV Materials I
  • EUV Mask and Imaging: Joint Session with conferences 10809 and 10810
  • EUV Inspection, Repair, and Verification: Joint Session with conferences 10809 and 10810
  • EUV Pellicle and Metrology: Joint Session with conferences 10809 and 10810
  • EUV Materials II
  • High-NA and Imaging
  • Patterning
  • Poster Session: EUV Mask
  • Poster Session: EUV Source
  • Poster Session: Imaging/Optics
  • Poster Session: Materials
  • Poster Session: Process Control
Front Matter: Volume 10809
icon_mobile_dropdown
Front Matter: Volume 10809
This PDF file contains the front matter associated with SPIE Proceedings Volume 10809, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.
Plenary Session
icon_mobile_dropdown
Accelerate lithography improvement for high performance computing
John Y. Chen
Artificial intelligence (AI) with deep learning is taking off based on High Performance Computing (HPC) engines fueled by “Big Data” in the cloud. NVIDIA’s general-purpose GPU (Graphics Process Unit) is the ideal platform to accelerate computation with its inherent massive parallel processing capability. The Deep Learning machines for AI would be the new driver for the semiconductor industry. In the past, the minimum feature on a semiconductor chip has greatly shrunk with Moore’s law. From 1971 to 2018, as the feature size scaled from 10 μm to 10 nm, the transistors per chip increased from thousands to billions, and remarkably, its price has gone down to few % of a cent. However, going forward with Moore’s law discontinued in its scaling cadence, the economic benefit f scaling can hardly justify the increased cost of wafer manufacturing unless we can find a way to advance lithography and pack more transistors on a chip. In the near future, the only practical way is EUV including EUV mask, which has made great progress lately even though still challenges ahead. Illustrated by the latest and most complicated AI chip on this planet, the presenter will describe key lithographic requirements from an end user point of view. An example is given to show how precise the Edge Placement of a geometry needs to be controlled in order to scale IC density for the future technology nodes.
Current challenges and opportunities for EUV lithography
The semiconductor industry is on the threshold of using extreme ultraviolet (EUV) lithography in high volume manufacturing (HVM). Nevertheless, there are several areas where improvement in this lithographic technology would be very beneficial, most notably exposure tool reliability (particularly the light source) and mask contamination. These areas have important consequences for productivity. Future generations of EUV lithography are expected, but there are several challenges to be overcome, particularly in the areas of resists and computational lithography. A replacement for chemically amplified resists may be required. Regardless of resist type, exposure doses must be sufficiently high to prevent photon shot noise from causing high levels of yield loss. Computational lithography for next generation EUV lithography will be very complex.
EUV Scanner and Source
icon_mobile_dropdown
EUV industrialization high volume manufacturing with NXE3400B
With the introduction of its fifth-generation NXE:3400B scanner, ASML brought EUV to High-Volume Manufacturing for 7 nm node lithography and beyond with full support of pellicle. This paper presents an update on lithographic performance results obtained with the NXE:3400B, characterized by an NA of 0.33, a Pupil Fill Ratio (PFR) of 0.2 and throughput capability of 125 wafers per hour. Advances in source power and system availability have enabled a continued increase of productivity. To maximize the number of yielding dies per day excellent Overlay, Focus, and Critical Dimension (CD) control have been realized, combining intrinsic tool stability with holistic control schemes. We will also show matching performance for both Overlay and Imaging, and further improvements in Focus Process Dependencies for the 5nm node.
NXE:3400B imaging performance assessed from a customer perspective
With the introduction of the NXE:3400B EUV scanner, ASML brings EUV lithography to the standards required for High Volume Manufacturing (HVM). In this presentation we will demonstrate the imaging performance of the NXE:3400B EUV scanner for customer representative use cases, based on the on-wafer imaging performance metrics CDU, local CDU and proximity matching. The use cases included in the imaging performance assessment are defined to cover single expose logic metal, logic block mask and DRAM contact hole applications.
Long collector mirror lifetime demonstration around 100W average LP-EUV source for semiconductor high volume manufacturing (Conference Presentation)
We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting and mitigation with magnetic field have been developed in Gigaphoton Inc.. We have developed first practical source for HVM; “GL200E” 1) in 2014. We have proved high average power CO2 laser more than 20kW at output power cooperate with Mitsubishi electric cooperation2). Pilot#1 is up running and its demonstrates HVM capability; EUV power recorded at111W average (117W in burst stabilized, 95% duty) with 5% conversion efficiency for 22hours operation in October 20163). Availability is potentially achievable at 89% (2weeks average), also superior magnetic mitigation has demonstrated promising mirror degradation rate (= 0.4%/Gp) above 100W level operation in burst (30W in average) with dummy mirror test.4). Recently we have demonstrated actual collector mirror reflectivity degradation rate is less than -0.4%/Gp by using real collector mirror around 100W ( at I/F clean ) in average power during one week operation. We will report latest data at symposium Reference 1) Hakaru Mizoguchi, et. al.: “Sub-hundred Watt operation demonstration of HVM LPP-EUV source”, Proc. SPIE 9048, (2014) 2) Yoichi Tanino et.al.:” A Driver CO2 Laser Using Transverse-flow CO2 Laser Amplifiers”, EUV Symposium 2013, ( Oct.6-10.2013, Toyama) 3) Hakaru Mizoguchi et al.:” Performance of 250W High Power HVM LPP-EUV Source”, Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII (2017) 4) Hakaru Mizoguchi, et al: ” High Power HVM LPP-EUV Source with Long Collector Mirror Lifetime”, SPIE Advanced Lithography ( 2018)
Accelerator-based compact extreme ultraviolet (EUV) sources for lithography
Juhao Wu, Alexander W. Chao
Extreme ultraviolet lithography (EUVL) is a next-generation technology using light at 13.5 nm. We start with general discussion about accelerator based approaches for compact EUV source generation, including Laser Induced Microbunching (LIM) schemes, High-Gain Inverse Compton (HGIC) source, etc. Such accelerator based EUV sources are compared to laser-produced plasma (LPP) source. Besides the high average power required by EUVL; for industrial applications, EUV source’s stability is an important measure. A storage-ring based steadystate microbunching (SSMB) configuration (Chao, Int. J. Mod. Phys. A, 2015) is a very promising approach providing EUV source at kilowatts level average power meeting the high volume manufacturing requirements for EUVL. SSMB overcomes the large pulse-to-pulse power fluctuation commonly existing in single-pass high-gain systems, e.g., a self-amplified spontaneous emission (SASE) free-electron laser. In a reversible SSMB configuration, the generation of high peak power EUV source and keeping high repetition rate are nicely decoupled.
Upgrade plan of cERL for the POC as a first stage of the development on EUV-FEL high power light source (Conference Presentation)
It is important to develop the high power EUV light source up to 1 kW to realize the 3nm node to reduce stochastic variation and achieve a higher through put. To this end, we have proposed an energy recovery linac (ERL)-based free electron laser (FEL) ,which will produce more than 10 kW EUV light to provide the light into several scanners. We studied the feasibilities to reduce the size of the accelerator system itself, and the part of them was presented at the previous symposium last year. And we also gave an idea to develop the POC of the ERL-FEL by using compact ERL (cERL) as a first stage of the EUV-FEL. In this paper, we present the upgrade plan of cERL for the POC. The technologies to be clarified are both of to realize the SASE-FEL based on the ERL and to achieve short electron bunch around 100fs for EUV-FEL light generation. The former is that the energy of the electron beams after the FEL generation can be recovered with the ERL accelerator systems with the high repetition rate such as more than 100MHz. The POC will be completed at the wavelength of near infrared, because of the size limitation of the cERL. The latter will be also realized at the cERL by the bunch compression scheme using a combination of electron beams with a momentum chirp and magnet assemblies with a non-zero longitudinal dispersion. The design values of the upgrade are as follows; the energy of the recirculation electron is 80 MeV, energy of the injection electron is 5 MeV, wavelength of the FEL is 1.35 micron, electron beam current is 10mA, bunch charge is 60 pC/bunch, repetition rate is 162.5 MHz. We have already studied the electron trajectory by using simulation, and checked the feasibilities. We will give detailed studies on the POC.
EUV Process Control
icon_mobile_dropdown
EUV stochastic defect monitoring with advanced broadband optical wafer inspection and e-beam review systems
Kaushik Sah, Andrew Cross, Martin Plihal, et al.
As Extreme UltraViolet (EUV) lithography nears high volume manufacturing (HVM) adoption to enable the sub-7nm scaling roadmap, characterizing and monitoring defects that print at wafer level are of critical importance to yield. This is especially true for defects coming from the EUV mask, such as multi-layer defects, added particles or growth on mask, and for defects coming from the pattern formation process itself, also referred to as stochastic printing defects. A “Print Check” solution has been previously described.1 This technique uses full-wafer patterned optical inspection to monitor mask defects that print on the wafer. In this paper we focus on developing metrology solutions for stochastic printing defects, which are random local variations that occur between structures that should, in principle, print identically, but actually occur at significant frequencies with current state-of-the-art processes. Specifically, we discuss the importance of monitoring these defects using broadband plasma optical inspection and e-beam defect review systems. We show extensive characterizations of defects on line space patterns down to a pitch of 36nm, on contact holes at a pitch of 48nm and on logic blocks in a foundry equivalent N5 test vehicle. Analysis methods based on CD SEM and review SEM images have been described.
Non‐Gaussian CD distribution characterization for DRAM application in EUV lithography
We evaluate through simulations and experimental data the impact of process non-idealities with a particular attention to mask CD uniformity for 44 nm pitch DRAM contact hole array. Several millions of contact holes are simulated with PROLITH after full-physical stochastic process calibration. Process Windows, LCDU and failure rates are compared at nominal conditions, assuming no variation in process parameters vs. the stochastic process variation obtained by inclusion of perturbations of process parameters. The simulations are repeated including Gaussian distributed mask CD variations. Skewness, kurtosis, and failure rates are calculated..
Measurement and modeling of diffusion characteristics in EUV resist
Luke Long, Andrew Neureuther, Patrick Naulleau
As a critical driver of the resolution, line edge roughness, sensitivity tradeoff, understanding acid diffusion in chemically amplified resist (CAR) is critical for its continued use in extreme ultraviolet lithography. Here we present an experiment that probes the nature of acid diffusion in a commercially available, conventional polymer CAR by measuring the developed linewidth of features as a function of post exposure bake time. In contrast to deep ultraviolet resists, the linewidth vs baketime relationship in the EUV resist studied is not linear. The observed trend in EUV was shown to be well described by the multivariate Poisson propagation model using a Gaussian diffusion kernel with a diffusivity of 25 nm2=s. Deviations from a purely Gaussian diffusion profile were modeled by the inclusion of base that reduces the effective acid concentration in unexposed regions of resist. We thus conclude that acid blur in EUV CAR can be well described as a Fickian diffusion process.
Massive CD metrology for EUV failure characterization and EPE metrology
Harm Dillen, Yi-Hsin Chang, Fei Wang, et al.
Traditionally, the performance of a lithography or patterning step is described by its mean size and the spread at a 3 sigma probability. Recent papers by Bristol, Brunner and others have shown this is insufficient to describe the process capability in EUV lithography. To address this challenge, an enormous increase of sampling CD (critical dimension) values is needed to describe the actual distribution on the wafer. We will show how we can address this by leveraging the HMI eP5 e-Beam system to acquire a set of CDs of previously unknown size. We will further show that extended sampling leads to better understanding of this phenomena, as we can probe full distribution behavior even on a limited number of repeated exposures on a wafer.
EUV Mask Blanks: Joint Session with conferences 10809 and 10810
icon_mobile_dropdown
Cleaning durability of the applied materials EUV mask blanks (Conference Presentation)
Abbas Rastegar, Sankesha Bhoyar , Khim Tiong Soon, et al.
In the absence of EUV pellicles, EUV masks need to be cleaned frequently. Even after the implementation of the pellicles, EUV masks need to be cleaned multiple times. When pellicle studs need to be removed, aggressive cleaning recipes are required to remove glue from the surface mask. Therefore, surfaces in contact with chemicals during mask cleaning should be stable against aggressive, acidic and basic chemicals. In addition to, chemical durability, EUV masks are repeatedly exposed to EUV and UV radiation. In particular, with increasing the EUV source power, it is expected that EUV mask surface be exposed to EUV light with energy densities > 5 W/cm2 as well as out-of-band radiation in UV region. Such high energy radiation can oxidize Ru cap layer or promote Ruthenium silicide formation under the capping layer. Such mechanism can result in Ruthenium peel –off by multiple cleaning of EUV masks. When acidic chemistries are used to remove particles from pattern EUV masks, absorber layer can be etched and as a result mask CD will change by multiple cleanings. During the chucking process in an EUV scanner, there might be dents form in the backside conductive layer (e.g. CrN) which results in thinning backside conductive layer in the certain areas. Meantime, more aggressive megasonic cleaning is required to remove micron size particles from the backside of the EUV masks. Combination of multiple chucking, dent formation and aggressive cleaning may result in damage in the backside film. Finally, in the EUV masks with OPC sub-resolution-assist-feature (SRAF) can be easily damaged by megasonic during cleaning. This paper will discuss cleaning durability challenges for sub 10 nm half pitch nodes when high power EUV sources expect to be used. In particular, we present our latest results of multiple cleaning of Applied Materials blanks with conventional cleaning chemistries. The change in the EUV reflectivity of Ru cap multilayers by 100x cleaning will be presented. Impact of cleaning on conventional absorber (TaN) and newly developed thin absorber films in Applied Materials will be discussed. The impact of the cleaning processes on the substrate and CrN backside conductive film will be presented. Multiple surface characterization techniques will be used for study of cleaning impact on different films.
Ion beam processing for critical EUV photomask process steps: mask blank deposition and photomask absorber etch
Katrina Rook, Meng H. Lee, Narasimhan Srinivasan, et al.
Development progress and roadmap, for high-reflective Mo/Si multilayers for EUV mask-blanks, are reviewed. We outline the state-of-the-art in low-defect-density secondary ion beam deposition (IBD), and ongoing hardware development for performance improvement and high-volume manufacturing. We further discuss extension of ion beam technology to later steps in the EUV mask manufacturing: deposition of highly-uniform 2.5 – 3nm Ru capping layers; and patterning of novel Ni absorber structures. IBD-deposited Ru films are demonstrated with uniformity of 0.7% 3σ over a 188mm diameter area. By x-ray reflection with Cu Kα radiation, we measure a film density of 12.4 g/cm3, and a roughness of less than 1.0nm. Deposition rates of ~ 1 – 7 nm/min are demonstrated, implying a capping layer deposition time of 20 seconds – 3 minutes. . For advanced absorber patterning, we discuss Argon ion beam etch (IBE) of Ni films. Ni and Ru IBE etch rates of ~ 8 – 80 nm/min are demonstrated, implying absorber etch times of ~ 30 seconds – 5 minutes. IBE Ni:Ru etch selectivity is 1:1 to 1.3:1, so Ru is not a ‘stopping layer’, etch depth must be controlled by time, and Ni uniformity is a requirement. IBE Ni:Photoresist etch selectivity is 0.8:1 to 1.6:1. We simulate the IBE absorber pattern definition for mask features of half-pitch 96nm (24nm at wafer level). Ion beam incidence angle can be optimized to maintain critical dimension within 6% of the pre-etch value.
Enabling enhanced EUV lithographic performance using advanced SMO, OPC, and RET
The current industry standard tantalum-based mask absorber (60 nm TaBN) gives strong 3D electromagnetic field (EMF) effects at wafer level, such as shadowing and pitch-dependent best focus shifts. A thinner mask absorber with higher EUV extinction coefficient or a phase shifting mask can mitigate 3D EMF effects [1]. The alternative mask absorber materials would enable further downscaling to foundry 5nm node using state-of-the-art EUV scanners (with 0.33 numerical aperture “NA”) and facilitate future high NA imaging using single exposure. Here we evaluate insertion options on the patterning roadmap for alternative EUV mask absorbers, including high-k absorbers and attenuated phase shifting masks (attPSM) [1-2]. All studies are using relevant designs from foundry N5 logic node. Two alternative mask candidates are compared with the standard TaBN mask. We bring theoretical proof of concept that alternative mask absorber materials generate significant imaging gain in terms of established success criteria. On a set of predefined types of clips (with variations of 1D/2D, horizontal/vertical, dense/isolated patterns), we seek for higher depth of focus (DoF), higher image log slope (ILS), high illumination efficiency (ideally it would be equal to 1), lower pattern shift through focus (i.e., lower tele-centricity errors), lower mask error enhancement factor (MEEF). Source mask optimization (SMO) on N5 logic clip shows a more balanced source and larger common process window for high-k absorber over Ta-based absorber. Using the optical proximity correction (OPC) engine with high-k mask absorber, shows significant gain on overlapping process window (PW), process variation (PV) band, and less line end shortening. Applying advanced Resolution Enhancement Techniques (RET), sub-resolution assist features (SRAFs) on N5 designs demonstrated an improved process in terms of common depth of focus (cDoF), and image shift through focus. It was also observed that the process not using SRAFs with the high-k absorber had superior process window and image shift compared to the Ta-based case with SRAFs. Therefore, adoption of such high-k absorbers could potentially postpone the need for SRAFs.
EUV Materials I
icon_mobile_dropdown
Recent progress of materials and processes for EUV lithography. Ready for HVM? (Conference Presentation)
Extreme ultraviolet (EUV) lithography is considered to be the most effective strategy for realize 7 nm generation manufacturing and beyond. With recent rapid progress on the source power improvement, process and material explorations are more and more accelerated to achieve HVM requirements. Therefore, a key factor for the realization of EUV lithography is the choice of EUV resist material that is capable of resolving below 15-nm half pitch with high sensitivity. It has been thought that the main challenge of EUVL is satisfying Resolution (R) – LWR (L) – Sensitivity (S) requirements simultaneously. On the other hand, recent reports indicate defectivity caused by stochastic effect have to consider as well as RLS-tradeoff improvement. For 7 nm node application, traditional chemically amplified resist (CAR) system is first candidate because of its well-studied property through KrF and ArF manufacturing process for many years, e.g. stability, metal contamination and post-litho process compatibility. However its low EUV absorption property enhancing photon-shot-noise (PSN) effect is one major disadvantage of conventional CAR system, especially for low dose resist process construction. In the past, several researches aiming high EUV absorption CAR system were reported, but material design and its lithographic performance still need detailed investigation. The present study aims to clarify how we can overcome RLS-tradeoff and reduce defects. Accordingly, we investigated the PSN effect using progress dependency study and material design requirements to achieve high EUV absorption resists. Lithographic results of high EUV absorption resists based on the study were also described.
Pattern formation mechanism of zirconia nanoparticle resist used for extreme-ultraviolet lithography (Conference Presentation)
Takahiro Kozawa, Teppei Yamada, Satoshi Ishihara, et al.
The performance of chemically amplified resist is approaching its physical limit with the reduction of feature sizes due to the acid diffusion needed for the solubility change of resist polymer. The line edge roughness (LER) of chemically amplified resists rapidly increases in the sub-10-nm-half-pitch region when the half-pitch is decreased. Also, the stochastic defect (pinching and bridges) generation is a significant concern for the high resolution patterning with high throughput. To solve these problems, the increase of the density of resist films is an important strategy. Metal oxide nanoparticle resists have attracted much attention as the next generation resist used for the high-volume production of semiconductor devices because of their high density property. However, the sensitization mechanism of the metal oxide nanoparticle resists is unknown. Understanding the sensitization mechanism is important for the efficient development of resist materials. In the previous study[1], the numbers of electron-hole pairs required for the solubility change of the resist films were estimated for a zirconia nanoparticle and a ligand shell, respectively. In this study, the pattern formation mechanism of zirconia nanoparticle resist was investigated. The elementary reactions possibly induced in the zirconia nanoparticle resist were investigated using a pulse radiolysis method. The pulse radiolysis is a powerful method to directly observe the kinetics of short-lived intermediates produced by an ionizing radiation. The pattern formation mechanism was assumed by integrating the elementary reactions. The resist patterns fabricated using an EUV exposure tool were analyzed on the basis of the assumed pattern formation mechanism. In the material design of metal oxide nanoparticle resists, it is important to efficiently use the electron-hole pairs generated in nanoparticles for the chemical change of ligand molecules. Acknowledgement This work was partially supported by Ministry of Economy, Trade and Industry (METI) and the New Energy and Industrial Technology Development Organization (NEDO). Reference [1] T. Kozawa, J. J. Santillan, and T. Itani, “Electron–hole pairs generated in ZrO2 nanoparticle resist upon exposure to extreme ultraviolet radiation”, Jpn. J. Appl. Phys. 57, 026501 (2018).
Progress in multi-trigger resists for EUV lithography (Conference Presentation)
Carmen Popescu, Alexandra McClelland, Guy Dawson, et al.
Recent tool and source advances make the introduction of EUV lithography into high volume manufacturing in the very near future inevitable. Whilst traditional chemically amplified resists will likely support the initial insertion, a wide range of materials options are being examined for future nodes, aiming to identify a photoresist that simultaneously meets the resolution, line edge roughness and sensitivity requirement. However, this issue represents a fundamental trade-off in lithography (the RLS triangle) and it is difficult to overcome. For instance, addition of quenchers in chemically amplified resists reduces the acid diffusion length and increases the resolution of the patterned features, but decreases the sensitivity, and impacts on material stochastics affecting the line edge roughness. In this study we present results obtained with Irresistible Materials’ Multi Trigger Resist. The multi trigger concept enables high sensitivity patterning but also incorporates a quenching behaviour into the chemistry to improve resolution. The standard material consists of a base molecule – EX2, a crosslinker and a PAG. EUV light generates photoacids, as with a traditional chemically amplified resist, but the response of the resist matrix implements a logic-type function. Where two resist molecules are activated by two acids, in close proximity to each other, then the resist molecules will react catalytically and release both acids. When a resist molecule encounters a single acid in isolation then it will hold on to the acid, without itself reacting, thus removing the acid from the reaction. This behaviour allows a high sensitivity response at a certain dose threshold but turns the resist response off much more quickly (as a 2nd order reaction) as the dose decreases, leading to sharper lines and lower line width roughness. We present results where the molecular structure was modified to create enhanced versions of the standard resin. This will offer higher cross-linking capability and better mechanical strength to reduce the LER, wiggling and defects, and thus ultimately higher resolution. We present the lithography performance of the MTR2 resist series which shows 16nm half pitch lines patterned with a dose of 38mJ/cm2, giving a LER of 3.7 nm when patterned using an NXE3300. We also present a new resist formulation using a crosslinker with a high opacity non-metallic atom attached, which has patterned 13nm lines at the Paul Scherrer Institute (14nm half pitch) and also 13nm lines on the MET tool at Berkeley (20nm half pitch) with an LER of 4.24nm. We also present the lithographic performance of the MTR3 resist series which is 10% faster than the MTR2 series when patterning with EUV lithography at PSI, and has achieved a 2.95nm LER at 16nm half pitch, and 3.80nm LER at 14nm half pitch at PSI. Performance across various process conditions is also discussed, including process conditions to reduce wiggling and improve LER.
Evaluation of EUV resists for 5nm technology node and beyond
Zuhal Tasdemir, Xiaolong Wang, Iacopo Mochi, et al.
For more than a decade, the semiconductor manufacturing industry has anticipated the introduction of Extreme Ultraviolet Lithography (EUVL) into high-volume manufacturing (HVM). The readiness of the supporting EUV resists is one of the requirements for HVM. While the industry is planning to introduce EUVL into HVM at 7 nm node, it is important to address the availability of the resists for future generations and in particular for the high-NA EUVL which will have the patterning capability down to 8 nm half-pitch. In this study we report on the performance of promising EUV resists evaluated by EUV interference lithography (EUV-IL) at the Swiss Light Source (SLS) at the Paul Scherrer Institut (PSI). We evaluated EUV resists that are being developed as candidate materials for future technology nodes and we assessed their potential for high-NA EUV lithography. Several new chemically-amplified resists (CARs) and non-CAR resists have been investigated with the aim to resolve patterns down to 10 nm hp. While, up to now, CARs performance reached down to 13 nm half pitch (hp) only, we report about a recent CAR that can partially resolve lines down to 11 nm hp. Moreover, some other non-CAR resists have achieved resolutions down to 10 nm. We evaluated essential parameters, such as critical dimension (CD) and line edge roughness as a function of dose and we estimated the exposure latitude (EL). Furthermore, we report on the ultimate extendibility of CAR platform materials in manufacturing, and on novel resist platforms developed to address the challenges in the patterning at hp ≤ 10 nm.
EUV Mask and Imaging: Joint Session with conferences 10809 and 10810
icon_mobile_dropdown
Optimization and stability of CD variability in pitch 40 nm contact holes on NXE:3300
Controlling the Global and Local CD uniformity (GCDU and LCDU) of Contact Holes (CH) and the associated edge placement errors are important for the implementation of EUV lithography in high-volume production at memory chip manufacturers. The GCDU describes the average CH CD variability within and between the fields on the wafer, while the LCDU comprises the CH-to-CH variation between neighboring CHs. We have experimentally measured these parameters on a representative memory layer to understand the current performance, and suggest possibilities and pathways for future improvement. We report on an extensive experimental imaging study of a 40 nm pitch square CH array use case, using ASML’s NXE:3300 EUV exposure tool at imec. We decompose the GCDU into Intra-Field and Intra-Wafer signatures, and the LCDU into systematic and stochastic components. Through this decomposition, we can assess the contribution of mask, scanner and resist process. A 10-month monitor evaluates the changes over time of these respective components and the relation between GCDU and LCDU. The mask contribution to LCDU was further examined by a CH-to-CH comparison of mask and wafer measurements. LCDU improvements could be obtained by optimizing the source for a better contrast through focus (6% LCDU improvement w.r.t. a Standard Quasar source shape at best focus, up to 30% in defocus) as well as by a resist stack optimization. Optimized resist stacks delivered 15% improvements in a lower LCDU on one hand, or a lower dose-tosize on the other hand. The results of this pitch 40 nm contact hole study lead to a better understanding of the needs for mask and scanner for the memory use case at 0.33 NA EUV lithography.
EUV pupil optimization for 32nm pitch logic structures
A pupil optimization was carried out for the M2 layer of the imec N7 (foundry N5 equivalent) logic design. This is exposed as a single print EUV layer. We focused on the printability of the toughest parts of the design: a dense line space grating of 32 nm pitch and a tip-tip grating of 32 nm pitch, tip-to-tip target CD of 25 nm. We found that the pupil optimization can improve both the line space and the tip-to-tip gratings energy latitude and depth of focus. The tip-to-tip target CD can be pushed further, enabling further design scaling.
Rapid image-based pupil plane characterization for EUV lithography systems
Zac Levinson, Erik Verduijn, Timothy Brunner, et al.
As the power of laser produced plasma sources has increased, EUV lens heating has become a major component of process variation. Differential lens heating can cause thermal aberrations which affect system drift during operation, therefore pupil plane characterization will play a critical role in process optimization for EUV lithography (EUVL). In-situ full pupil characterization, which depicts the pupil in its in-use state, is essential for these tools. To this end we have developed Quick Inverse Pupil (QUIP)—a software suite developed for rapid characterization of pupil plane behavior based on images formed by that system. This algorithm is based on statistical modeling, which correlates image-space variation with known aberrations. Previously we have presented variations on this algorithm which can only measure third-order aberrations and requires aerial image data. In this paper, we will present an approach to measure high order aberrations from images formed in resist. An inverse pupil solution will be obtained from CD-SEM image analysis. We will show that the additional degrees of freedom required to measure high-order aberrations can be achieved through using different pitches of the metrology targets. We will demonstrate that this technique can accurately determine third- and fifth-order aberrations with a retrieval error below 0.5 miliwaves in under one second. A combination of synthetic and experimental data will be presented.
EUV Inspection, Repair, and Verification: Joint Session with conferences 10809 and 10810
icon_mobile_dropdown
Phase defect inspection on EUV masks using RESCAN
Rajendran Rajeev, Iacopo Mochi, Dimitrios Kazazis, et al.
As extreme ultraviolet (EUV) lithography is entering the high-volume manufacturing (HVM) phase, the ability to identify printable defects on EUV reticles becomes increasingly important to achieve the required wafer yield. However, no commercially available tool exists today for actinic patterned mask inspection (APMI). RESCAN is an APMI tool based on scanning coherent diffraction imaging (SCDI) under development at the Paul Scherrer Institut. In the last years, using RESCAN, we have demonstrated actinic identification of absorber defects on mask down to 36 nm size, and through-pellicle defect inspection. In this paper, we address a very critical but hitherto not reported feature of an APMI tool, namely the identification and characterization of phase defects on a patterned mask. Phase defects could be due to imperfections on the blank substrate leading to modification of the multilayer topology or due to particles embedded within the multilayer itself. Independent of the origin, the wave exiting the multilayer surface will have domains of phase variations as it propagates in the three-dimensional reticle stack. Mapping the exit wave that leave the EUV reticle both in amplitude and phase would be of paramount importance towards accurately predicting the EUV aerial images. Exploiting the amplitude and phase maps provided by SCDI, we use RESCAN for phase contrast imaging and to characterize programmed phase defects in a hybrid absorber-phase sample in a lens-less scheme, demonstrating the capability of the method and the tool.
EUV Pellicle and Metrology: Joint Session with conferences 10809 and 10810
icon_mobile_dropdown
Development of full-size EUV pellicle with thermal emission layer coating
Extreme ultraviolet lithography (EUVL) has received a considerable attention in the semiconductor industry as a promising candidate to achieve the high resolution pattern beyond 10nm. To achieve it, pellicle is essential to prevent the reticle from particle contamination during EUV scanning process. In this study, we present the full-size pellicle for EUVL. Full-size EUV pellicles with SiNx or single-crystalline Si core films were successfully fabricated, and the highest EUV transmittances obtained were 83% and 91%, respectively. Various capping layers were deposited on top of the Si or SiNx core films, and these pellicles were exposed to 355nm UV laser in order to emulate the EUV exposure. Especially, after EUV exposure, Ru emission layer exhibited cooling effect (ΔT) of 600-800 °C with 3nm on SiNx membrane The highest transmittances of full size pellicles with Ru emission layer on SiNx and Si core films obtained were 81% and 88%, respectively.
Actinic laboratory EUV tools for mask and pellicle metrology (Conference Presentation)
Serhiy Danylyuk, Lukas Bahrenberg, Sascha Brose, et al.
The growing industrial need for at-wavelength metrology of crucial elements in the EUV production chain, such as EUV masks and pellicles, can only be answered by cost-effective stand-alone tools. Especially the scaling to higher average operating powers of future EUV scanners is a task requiring multiple tools that can investigate not only critical degradation under increased EUV intensities, but also give information about underlying physical mechanisms of degradation and the imposed structural and chemical changes. At RWTH Aachen University, prototype tools are developed that address this challenge. The realized high-intensity EUV exposure tool, with a tightly focused sub-100 µm EUV spot, provides a unique opportunity to investigate the influence of EUV intensities on the level of the 250 W EUV scanner generation and beyond. With its pulse-to-pulse measurement system, the exposure dose in the tool is controlled with sub-mW/mm2 precision and it is independent from the temporal stability of the source. The influence of these exposures on the specimen can directly be characterized by the versatile laboratory EUV spectrometer. The tool can perform spectroscopic measurements of reflectance and transmittance under variable incidence angles and operates spectroscopically in the important wavelength range from 9 nm to 17 nm. In reflectance mode, the incidence angle of illumination is adjustable from 5° to 12° grazing with sub-0.1° resolution, which allows extraction of optical constants for reflectance measurements. It uses an EUV-radiation source based on a gas-discharge plasma, whose instabilities are accounted for by permanent reference monitoring during measurements. This in combination with the use of a calibrated reference sample allows to determine reflectivities with an accuracy <1 %. An additional transmission mode for sufficiently thin membranes allows for an independent determination of absorption coefficients of mono- and multi-layered membranes. The developed analysis algorithms allow not only to obtain best-fit layer parameters, but also to analyze the resulting precision and cross-correlations of parameters. In this contribution, exemplary use-cases of the tools will be presented. The application of the described methods to resist and pellicle investigations and to contamination studies will be introduced and discussed.
EUV mask characterization with actinic scatterometry
Stuart Sherwin, Andrew Neureuther, Patrick Naulleau
With EUV Lithography rapidly approaching maturity, accurate metrology to thoroughly characterize EUV photomasks is needed. We present an actinic EUV reflection-based scatterometry technique to measure key parameters of EUV photomasks to characterize both the multilayer mirror substrate as well as periodic absorber targets fabricated on the multilayer. We show these measurements can be used both in determining the physical dimensions on the mask, and also in directly quantifying optical effects, which can provide invaluable feedback in the mask optimization and manufacturing processes. In this paper, we present four different methods of data analysis for EUV mask scatterometry: two for characterizing the multilayer mirror based on measurements of the reflected light intensity from a flat open area of the mask, and two more for characterizing absorber gratings fabricated on the multilayer substrate based on measurements of the diffraction efficiencies. Key findings include that a simple neural net architecture containing a single fullyconnected hidden layer that can characterize the multilayer’s angularly-varying complex reflection coefficient to 7 × 10-4 accuracy, and that dictionary-based scatterometry with 7 wavelengths from 13.2 − 13.8nm can measure the absorber thickness of a grating to 0.4nm even in the presence of random and systematic errors. With the presented methods and findings, we hope to demonstrate that actinic EUV scatterometry has the capabilities to accurately characterize EUV masks in terms of both multilayer and absorber.
EUV Materials II
icon_mobile_dropdown
State-of-the-art of EUV materials for N5 logic and DRAM applications
In the last year, the continuous efforts on the development of extreme ultraviolet lithography (EUVL) has allowed to push the lithographic performance of the EUV photoresists on the ASML NXE:3300 full field exposure tool. However, EUVL materials are deemed as critical to enable and extend the EUV lithography technology in a cost-effective manner. In this work, we present the imec activity on EUV materials. We show the results of the best performing EUV photoresists for dense line-space pattern at 32nm pitch, dense contact holes at 36nm pitch and dense staggered pillars at Px70nm-Py40nm pitch, reporting the most critical patterning challenges for the investigated structures. We discuss manufacturing challenges as nano-failures, line-width roughness, local critical dimension (CD) uniformity, process window limitations and metal cross contamination of metal containing resist (MCR). Further, we discuss the role of the substrate underneath the EUV photoresist and alternative patterning solutions as the tone reversal process (TRP). Furthermore, we discuss novel EUV materials lain on the horizon and fundamental material aspects.
Using resonant soft x-ray scattering to image patterns on undeveloped resists
Guillaume Freychet, Isvar A. Cordova, Terry McAfee, et al.
Extreme ultraviolet lithography is one of the most promising printing techniques for high volume semiconductor manufacturing at the 14 nm half-pitch device node and beyond. However, key challenges around EUV photoresist materials such as the exposure-dose sensitivity or the line-width roughness continue to impede the full adoption into industrial nanofab facilities. New metrology tools are required to address these challenges by helping to determine the impact of the EUV materials’ properties and processing conditions on the roughness through the different step of the process. Here, we apply the resonant soft x-ray scattering (RSOXS) technique to gain insights into the structure of patterned EUV resists before the development step takes place. By using energies around the carbon absorption edge to take advantage of small differences in chemistry, the electronic density contrast between the exposed and unexposed regions of the resists could be enhanced in order to image the patterns with sub-nm precision. Critical-dimension grazing incidence small-angle X-ray scattering (CDGISAXS) was then performed at energies where the contrast was maximized, enabling the reconstruction of the 3D shape of the latent image. This demonstrates the potential of RSOXS to provide a high-resolution heightsensitive profile of patterned EUV resists, which will help to quantify the evolution of critical features, such as the line edge roughness, at each step of the nanofabrication process.
Advances in metal oxide resist performance and production (Conference Presentation)
Jason K. Stowers
Inpria is a leader in the development of high-performance photoresist materials for EUV lithography. By design, these photoresists enable patterning at extremely small pitches, exhibit high EUV absorption to reduce the photon shot noise otherwise amplified in conventional resists, and provide high etch selectivity to provide a large process window. Such characteristics derive from the metal oxide molecular cluster composition of the resists and the small, highly homogeneous building blocks this chemistry enables. We will present recent advances to Inpria photoresist platforms which have resulted in improved RLS performance, process stability, and photospeed tunability. We demonstrate the patterning capabilities for specific use cases in logic and memory applications, including the performance after etch. For patterning on an NXE:3300, the materials deliver large process windows both for line/space features at 26nm pitch needed for logic metal patterning and for the 43nm pitch hex pillar arrays required for DRAM applications. Pilot-scale batches of Inpria’s resists are routinely produced on our production line. We will review our manufacturing facility and the capabilities of our formulation process scaled to support the production requirements of leading device manufacturers.
New resist and underlayer approaches toward EUV lithography
Juha Rantala, Thomas Gädda, Markus Laukkanen, et al.
Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to pattern the finest features in the next-generation integrated circuit manufacturing. Chemically-amplified resists (CARs) have long been used as state-of-the art photoresists and have been considered as EUV resist. Recently, inorganic and metal-containing resist materials have received significant attention in both academia and industry areas, with the aim to improve the resist performance in terms of resist resolution (R), line-edge roughness (LER), and sensitivity (S) to solve the well-known RLS trade-off. However, the resists reported to date usually have either problem in terms of RLS trade-off or pose metal contamination, which is a serious issue in expensive EUV equipment. Differently, in this report, we demonstrate our recent success in the development of the photochemistry of silicon compounds and resist formulations to obtain novel EUV negative tone resists with high resolution (up to 22nm pitch line/space patterns), low line-edge roughness (1-3nm) with reasonable EUV sensitivity. We also discuss their high etch selectivity to a PiBond’s SOC organic underlayer, which enable a bilayer lithography stack for EUVL patterning. Their excellent etch performances by RIE plasma is also reported.
Advanced development of organic and inorganic under layers for EUV lithography (Conference Presentation)
Wataru Shibayama, Shuhei Shigaki, Satoshi Takeda, et al.
EUV lithography has been desired as the leading technology for below Hp20nm. However, the source power, masks and resist materials still have critical issues for mass production. Especially in resist materials, RLS trade-off is the key issue. To overcome this issue, we are focusing on Organic & Inorganic Hard Mask as the bottom layer of EUV PR. Especially, Inorganic under layers (Si-HM) can perform not only as the lithographic performance enhancement layer for fine pitch, but also as the etching hard mask against bottom layer (spin on carbon : SOC). In this paper, we especially propose new approaches to achieve high resolution below hp16nm. The key points of our concepts are EUV-sensitive units of Si-HM. This new EUV sensitive Si-HM could resolve Hp14nm L/S pattern with wide DOF margin. It can also perform as the high universal materials in any development process (PTD & NTD) and any PR materials. Moreover, the latest Organic under layers developed for the advanced EUV CAR PR & Metal resist also will be discussed in the paper. From the Organic & Inorganic under layer material design, we will present new concepts to get high resolution in EUVL.
High-NA and Imaging
icon_mobile_dropdown
High-NA EUV lithography exposure tool progress (Conference Presentation)
Jan van Schoot, Eelco van Setten, Kars Troost, et al.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and Zeiss are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The purpose of this high-NA scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. In this paper an update will be given on the status of the developments at Carl Zeiss and ASML. Next to this, we will address several topics inherent in the new design and smaller target resolution: M3D effects, polarization, focus control and stitching.
High-NA EUV lithography: The next step in EUV imaging (Conference Presentation)
Eelco van Setten, John McNamara, Jan van Schoot, et al.
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of these future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel POB design concept with a numerical aperture of 0.55NA that enables 8nm HP resolution and a high throughput. The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer masks at the increased NA, but also has implications on the system design and usage of the tool. The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen such to have minimal impact on imaging performance. Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% PFR. Since imaging is done with unpolarized EUV light, so-called vector effects at high resolution need to be accounted for appropriately. In this paper we will show the implications of the High-NA EUV system design on key performance metrics such as global CDU, pattern shift uniformity (overlay) and contrast for low local CDU at high throughput for several relevant use-cases.
Berkeley MET5 update: commissioning, interferometry, and first prints (Conference Presentation)
The Berkeley MET5, funded by EUREKA, is the world’s highest-resolution EUV projection lithography tool. With a 0.5-numerical aperture (NA) Schwartzchild objective, the Berkeley MET5 is capable of delivering 8-nm resolution for dense line/space patterns. In order to achieve this resolution, optical aberrations must be accurately characterized and compensated, a task that is complicated by the difficulty in finding a bright, high quality reference wave, and nonlinear effects associated with high incident angles on interferometry targets. The Berkeley MET5 was designed with an in-situ lateral shearing interferometer (LSI) to provide real-time wavefront diagnostics alongside its imaging capabilities. The geometry of the MET5 makes it a particularly difficult optical system to measure interferometrically. Unlike EUV production tools, the 2-bounce Schwartzchild design is non-telecentric at the image, with an image plane whose normal vector is tilted 1.12 degrees with respect to the optical axis. Shearing interferometers have shown good results measuring EUV wavefronts at low to medium NAs (0.1 - 0.33) with telecentric geometry. However, to accommodate the MET5 geometry, a generalized model of LSI was developed to inform the design and build of a lateral shearing interferometer capable of operating at high-NA and with a tilted image plane. This model predicts non-negligible systematic errors that must be compensated in the analysis. Specialized pinhole arrays were patterned onto the mask to fill the pupil with spatially filtered light that is incoherently multiplexed from multiple apertures. Due to the relatively large amount of DC flare compared with the signal in the interferograms, illumination profiles were chosen to match the NA of the obscuration so that zero-order light coming through the mask absorber is blocked in the pupil, which results in a finite coherence function width. Because of this, the design of the arrays required balancing the efficiency of the pattern while maintaining enough separation between apertures to accommodate the coherence function width. Analysis of the interferometric data shows a total RMS wavefront error of 0.6 nm after removal of systematic errors predicted by the LSI model. The bulk of this error lies in astigmatism and coma terms which can be corrected by field position and small adjustments to the alignment of the Schwartzchild optic respectively. The aberration signature of this wavefront is in good agreement with preliminary print data of aberration targets according to aerial image modeling of these features. The interferometric capability of the Berkeley MET5 is an indispensable part of commissioning the tool, and will allow for the diagnosing and monitoring of tool performance as it begins user operations in the coming months.
Mask 3D effects experimental measurements with NA 0.55 anamorphic imaging (Conference Presentation)
High NA (0.55) EUV lithography will be using anamorphic imaging with asymmetric X and Y magnification (4x8). Imaging at higher NA with specific change of light-rays solid angles at mask level will impact the known mask 3D effects. The SHARP EUV actinic mask-imaging microscope at LBNL allows imaging at NA0.55 emulating the relevant solid angles at mask level. It is therefore a nice tool to measure mask 3D effects experimentally in aerial images both at NA0.33 and at NA0.55. We will discuss under which conditions SHARP can be used to measure mask 3D effects using a dedicated reticle layout and a suited measurement methodology. The comparison of best focus shift for Lines/Spaces through pitch measured on SHARP to rigorous simulations at NA 0.33 gives us confidence in the tool capability and the measurement methodology. The validated methodology enables unique NA0.55 measurements of best focus shift trends through pitch matching with rigorous simulation trends, increasing our confidence both in the experiment and in the simulations at this unexplored high NA EUV imaging.
Patterning
icon_mobile_dropdown
Integration via 3rd dimension: 3D power scaling
“Geometrical Scaling” of MOS transistors supported the growth of the electronics industry for over 25 years (1975~2000) in accordance with Moore’s Law. The NTRS identified in the mid-90s major upcoming material and structural limitations of the silicon-gate transistor. To solve these problems the ITRS was formed in 1998 and the concepts of strained silicon, high-κ/metal gate, FinFET, and introduction of other semiconductor materials under “Equivalent Scaling” were identified as possible solutions to overcome these limitations. By 2011 all these new innovative technologies had been introduced into manufacturing. This approach has giving the semiconductor industry another 25 years (2000~2025) of growth. Realization of continuously smaller horizontal (2D) features will reach fundamental limits by ~2025. Flash producers have already transformed the realization of transistors from the horizontal dimension to the vertical dimension to solve this problem. Logic producers will follow. IRDS assessed that “3D Power Scaling” will extend Moore’s Law for at least another 15 years (2025~2040). How would implementation of 3D transistor and circuit affect lithographic requirements?
Benchmarking of EUV lithography line/space patterning versus immersion lithography multipatterning schemes at equivalent pitch
Angélique Raley, Chris Mack, Sophie Thibaut, et al.
In this paper, the authors compare and contrast the line/space patterning performance of direct print EUV to multipatterning schemes at equivalent pitch using a systematic unbiased PSD analysis approach for the 7nm and 5nm logic node critical BEOL layers. The authors highlight where innovation is needed to move forward with EUV in terms of line edge roughness (LER), line width roughness (LWR) performance.
Study of resist hardmask interaction through surface activation layers
At IBM, one of the focus items for EUV patterning development is to enable the fullest extent of scaling to a second EUV node while maintaining single-exposure levels. The challenge for the next node of EUV patterning has been with attaining acceptable defectivity levels that can enable electrical yield at pitches 32nm and below. For single-expose EUV, the primary detractors to sub-32nm pitch yield are typically microbridging and line break defects, which have different root causes but can exist in the same dose range. Since the etch strategies for mitigating one of these defect types will result in exacerbating the other, the burden to improve defectivity cannot be placed solely on the pattern transfer process. Resist scumming, which is the root cause of microbriging, can be modulated through interactions with the resist-hardmask interface. The lack of acid at the substrate interface causes resist scumming, and therefore increasing the acidity at the resist hardmask interface can be expected to mitigate post-litho microbridge defects. As the number of EUV photons are significantly less compared to DUV exposures due to the high energy contained in each photon, an extra acid boost can also help to address the stochastics failures that dominate EUV patterning. This paper will demonstrate the concept of modulating the resist-hardmask interaction through surface activation layers, and show the subsequent effects on patterning process window and microbridging defectivity toward yield at pitches <32nm.
Poster Session: EUV Mask
icon_mobile_dropdown
Optimization of absorber and multilayer in EUV mask for 1D and 2D patterns
The oblique incidence of the illumination system in EUV lithography combined with relative thick absorber layer of EUV mask introduces many unique distortions on the image transfer between mask and wafer, most of these distortions are non-linear thus makes the enhancement of resolution more difficult. This paper focus on analysing the impacts of the absorber layer thickness, multilayer thickness and the light source morphology on the image. And improve the EUV lithography and imaging quality by co-optimization of these three parameters. Besides, the intrinsic features and rules of the impacts of absorber thickness on the imaging properties is revealed. And the different behaviour of 1D dense pattern and isolation pattern during the co-optimization is analysed and elucidated. This study provides a potential new direction for resolution enhancement technology.
Holographic masks for computational proximity lithography with EUV radiation
V. Deuter, M. Grochowicz, S. Brose, et al.
Nowadays, EUV projection lithography has been proven effective for high-volume manufacturing of microchips. In parallel, high-resolution nanopatterning has been demonstrated utilizing interference lithography [1]. However, the former suffers from the complexity of projection optics, and the latter is limited to periodic structures. The presented approach is free of imaging optics and moreover allows for printing arbitrary (non-periodic) structures. Taking advantage of iterative designing of synthetic holograms, the described idea enables creating dedicated optical structure that can be applied for proximity lithography with EUV radiation. The method does not require a sophisticated optical system but necessitates numerical computation of a holographic mask, which gives desired intensity distribution at wafer. It is an inverse problem: for known intensity distribution at the wafer a design of holographic mask has to be inferred. The light field distribution in the plane of the mask can be calculated using phase retrieval methods based on Gerchberg-Saxton algorithm. The process can be described as iterative propagation of light field between mask and wafer planes at which certain constrains are applied: limited number of phase levels, minimal element size on the mask due to the fabrication process, correlation between absorption and phase-shifts and also the resist response. Due to the appropriate optical properties, a photoresist has been chosen as phase shifting material allowing for patterning of arbitrary mask structures. For the realization of the holographic phase shifting mask we used two phase-shifting levels. The fabrication process of the designed mask and experimental results of its characterization are also presented and discussed.
Extreme ultraviolet pellicle cooling by hydrogen gas flow (Conference Presentation)
The advent of extreme ultraviolet (EUV) technology enables much smaller patterns. In order to commercialize EUV technology, some mechanical problems should be studied. Especially, pellicle temperature rising due to EUV exposure is one of the critical problems. It affects on pellicle life expectancy. We can use gas flow to cool down EUV pellicle as well as to blow off the defects fallen between the pellicle and mask. The cooling behaviors of various EUV pellicle types are studied by finite element method. Figure 1 shows the cooling of 50 nm thick p-silicon monolayer pellicle and the cooling rate is increased with the hydrogen gas flow rate, while the cooling rate without H2 flow is very slow so that it might not reach to the room temperature. The same analysis is applied to other known multi-layer pellicles (Fig. 2). Well known ASML and Samsung pellicles are compared to a single p-silicon layer pellicle. As expected, the maximum temperatures of multi-layer pellicles are lower than single-layer pellicle and we can see that the cooling by gas flow for multi-layer is better than that for a single layer. This study might suggest that EUV pellicle cooling is efficient enough to use a single layer pellicle and we might not need to use the multi-layer pellicle which was originally adapted to cool down and extend the lifetime of the pellicle. The deformation and the stress dependency of the various pellicles on the gas flow rate and pressure difference will be also shown.
A tool for full area inband EUV transmission mapping of EUV pellicles
C. Pampfer, A. Biermanns-Föth, T. Missalla, et al.
The scene is set for EUV lithography high volume production. EUV masks with pellicles and scanners with dynamic gas lock thin film windows are considered a corner stone for insertion. For making the thin films usable in EUV scanners, EUV actinic metrology with high precision and accuracy is required to qualify them. One of the key features is the uniformity of the transmission at 13.5 nm through the thin film membrane to be qualified over the entire area. The specification for the required tool is qualifying a full sized EUV pellicle with an average EUV transmission of around 90 % to a uniformity of < 99.6 % – hence precision and accuracy of the process of below 0.1 % are demanded. Certainly, no particles should be added to the EUV pellicle by the qualification measurement. Within RI Research Instrument’s support of EUVL infrastructure by developing actinic metrology solutions, we have built a dedicated tool for EUV pellicle transmission characterization using the effective inband EUV scheme. The actinic measurement of the full pellicle is accomplished in less than 40 minutes. Vacuum loading and unloading consumes another 35 minutes due to the need of extreme care for such fragile samples and to avoid contamination. First images of full sized pellicles show precision and accuracy of better 0.1 % for a qualification pixel resolution of 300x300 μm2.
Pattern degradation with larger particles on EUV pellicle
Particle defects placed on extreme-ultraviolet (EUV) pellicle can degrade pattern quality due to the particle defect shadowing. It is obvious that serious patterning error would be occurred due to larger particle defects on top of the pellicle, so that the effect of critical dimension (CD) degradation caused by particle defect on top of the EUV pellicle is investigated. We tried to determine the maximum allowable particle defect size with various pattern types and nodes via commercial simulation tool. Also, we set the boundaries for CD error limit of 5 % and CD non-uniformity to 0.2 nm. Based on these result, we determined the maximum allowable particle defect size for N5 and N7 nodes in order to find the proper defect control.
Defect avoidance for extreme ultraviolet mask defects using intentional pattern deformation
Extreme ultraviolet lithography has been adopted as the next generation lithography solution to sub 10nm technology node. However, mask blank defect is a major challenge for this technology. In this work, we explore the extended benefits of utilizing pattern deformation for EUV mask defect avoidance. In the first part of the paper, we propose a constraint programming based method that can explore pattern shift, small angle rotation, and deformation for defect avoidance. In the second part of the paper, we utilized this proposed method to explore the benefit of pattern deformation. For an 8nm polysilicon layer of an ARM Cortex M0 layout, pattern deformation combined with pattern shift was able to improve mask yield by more than 90%-point compared to pattern shift alone for a 40-defect mask.
AIMER™: full reticle area, scanner-effective EUV mapping reflectometry
A. Biermanns-Föth, C. Phiesel, T. Missalla, et al.
EUV-Lithography is preparing for high volume manufacturing. For actinic qualification of components for EUVL like mask blanks, masks, pellicles or optics, spectral resolved metrology (e.g. reflectometry) is frequently applied. With the EUV-AIME effective inband mapping reflectometry we demonstrated a proprietary new concept for full area qualification of mask blanks or masks. While spectral reflectance measurements provide unweighted information on peak reflectance (Rmax), central wavelength of the reflection curves (CWL50) and bandwidth (FWHM), AIMER combines those to an effective figure of merit “as effectively seen by the EUVL scanner”. Short measurement times are achieved because a single acquisition measures about 25*15 mm2 in less than 5 seconds. A full (152 mm)2 reticle map is acquired in less than one hour, including sample load and unload. AIMER provides intrinsic spatial resolution of (20μm)2, delivering sensitivity to larger defects or allowing for finding marks or small metrology pads on structured EUV masks. When compared to the typical 1 mm2 spot measured in the spectral mode, AIMER excels in higher number of photons collected and such lower shot noise limits in reproducibility.
Poster Session: EUV Source
icon_mobile_dropdown
Operational and productization status of Adlyte’s light source for actinic patterned mask inspection HVM tools (Conference Presentation)
Fariba Abhari
Key components for the EUV mask infrastructure include pattern inspection, which are crucial for the introduction of EUV lithography into HVM. The use of pellicles further aggravates the need for actinic light sources. EUV light sources with a high availability and brightness are required to increase the throughput for pattern inspection systems to HVM requirements. In addition, the future node sizes results in the demand for a much higher brightness actinic light sources, enabling the required throughput and minimize the cost of ownership. Adlyte has been developing a droplet based laser produced plasma (LPP) light over the last decade, specifically focused on the needs of actinic mask inspection for AIMS, Blank and Pattern Tools. Here, latest technical improvements in the EUV brightness and stability of the LPP light source will be presented. Having demonstrated brightness measurements of over 300 W/mm2 Sr, Adlyte’s light source would fulfill all the technical requirements of actinic pattern mask inspection systems for the present and many future nodes, lowering technology risks for future upgrades. In addition, new advances in droplet generator (DG) technology, including advanced actuation technology will be presented. Long run time testing will demonstrate the operational. Operational DG swap data will also be presented showing minimal downtime to maintain tool uptime. The first bounce EUV collector and its debris control and mitigation will be presented showing affordable source cost-of-ownership (COO). The small footprint of the source as well as state of the art platform damping technology ensures that the light source can be seamlessly integrated into the inspection tool. Roadmap of Adlyte’s light source including HVM manufacturing and productization processes will also be presented and the industrial readiness to support our customers in the field will be outlined.
NXE:3400B EUV source performance in the field, readiness for HVM and power scaling beyond 250W
We provide an overview of laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source performance to enable high volume manufacturing and improvements in various technologies for scaling output power of the source. Several companies have multiple systems and are ramping toward production, we will show current output and availability of sources and describe their readiness for HVM. We will show improvements to source architecture that facilitated the increase of EUV power to 250W, and the technical challenges for power scaling of key source parameters and subsystems. The performance of critical subsystems such as the Droplet Generator and Collector protection will be shown, with emphasis on stability and lifetime. Finally, we will describe current research activities and provide a perspective for LPP EUV sources towards 500W.
Key components development progress of high-power LPP-EUV light source with unique debris mitigation system using a magnetic field
Gigaphoton Inc. has been developing a CO2-Sn-LPP (LPP: Laser Produced Plasma) extreme ultraviolet (EUV) light source system for high-volume manufacturing (HVM) semiconductor lithography. Original technologies and key components of this source include a high-power carbon dioxide (CO2) laser with 15 ns pulse duration, a short wavelength solid-state pre-pulse laser with 10 ps pulse duration, a highly stabilized small droplet (DL) target, a precise DL-laser shooting control system and unique debris mitigation technology with a magnetic field. In this paper, an update of the development progress of the total system and of the key components is presented.
Debris-free high-brightness light source based on LPP for actinic EUV microscopy and metrology applications
Konstantin Koshelev, Alexander Vinokhodov, Oleg Yakushev, et al.
The progress of EUVL and the introduction of HVM scanners demands advanced actinic metrology especially for the EUV mask supply chain. For stand alone field use reliable metrology sources for EUV inband emission around 13.5 nm are critically needed. For nanometer resolution the effective “inband brightness” is extremely important. Laser produced EUV sources (LPP) are cost effective with efficient energy use thus providing a reliable approach for real-life industrial applications. However, apart from the Cymer/ASML LPP scanner source no such source is available. One reason is that realizing a reliable tin droplet target is beyond the technical and financial scope of a metrology source. In this paper, we propose a path to make industrial laser produced plasma based EUV sources reliable and with easy renewable targets a reality.
A possible wafer heating during EUV exposure
Extreme ultraviolet (EUV) lithography is the most promising candidate for sub-1x nm pattering. CO2 laser irradiates to a Sn droplet and then, EUV radiation can be emitted. In this process, infrared radiation (IR) is simultaneously emitted 3 to 5 times more than EUV radiation. In order to suppress IR, spectral purity filter (SPF) [8] at collector mirror and dynamic gas lock (DGL) [4] are used. Nevertheless, some amount of IR still reaches to the wafer and it can lead to wafer heating issue, so that we investigated temperature and deformation of the wafer by using finite element method (FEM) simulation. Two different silicon wafer types are compared. There is a difference in temperature and deformation between single layered wafer with and without the bottom chuck. We also found that the temperature increased more with added stacks like hard mask or photoresist on the top of the wafer.
Poster Session: Imaging/Optics
icon_mobile_dropdown
Lateral shearing interferometry for high-NA EUV wavefront metrology
We present a lateral shearing interferometer suitable for high-NA EUV wavefront metrology. In this interferometer, a geometric model is used to accurately characterize and predict systematic errors that come from performing interferometry at high NA. This interferometer is compatible with various optical geometries, including systems where the image plane is tilted with respect to the optical axis, as in the Berkeley MET5. Simulation results show that the systematic errors in tilted geometries can be reduced by aligning the shearing interferometer grating and detector parallel to the image plane. Subsequent residual errors can be removed by linear fitting.
Reflectance measurement of EUV mirrors with s- and p-polarized light using polarization control units
Tetsuo Harada, Takeo Watanabe
We have developed the reflectometer to evaluate EUV mirrors and masks at BL-10 beamline of NewSUBARU synchrotron light facility. This reflectometer usually measures s-polarized reflectance. Reflectance of an EUV mirror is strongly depends on polarization state of incident light, if angle of incidence to the sample is not normal. The center radiation from a bending source of a synchrotron source is almost horizontally polarized. However, there was several percent of vertical polarized component at the center radiation on NewSUBARU. For accurate reflectometry, a horizontal-polarization control unit (PCU) has been developed to remove the unnecessary vertically-polarized EUV component. This unit consisted of two reflection polarizer mirrors coated with aperiodic Mo/Si broadband multilayer, which multilayer was coated in our laboratory. Using this unit, degree of horizontal polarization was improved to be 1.00. In addition, vertical-PCU has been developed for p-polarized reflectance measurement. There is vertical polarized light component on off-axis radiation from the bending source. This vertical-PCU also generated the fully-verticalpolarized light. As the results, the reflectometer measures accurate s- and p-polarized reflectance without setup change of the sample stage and the detector stage.
Spatially resolved reflectometry for EUV optical components
Frank Scholze, Andreas Fischer, Claudia Tagbo, et al.
The introduction of EUV lithography into high volume manufacturing poses new challenges to any optical component in the lithography machines. Particularly the homogeneity of the optical properties is of importance. The present measurement capabilities of PTB using synchrotron radiation are based on a monochromatized, focused beam with a typical footprint on the sample in the order of 1 mm2. This, however, is not sufficient to detect small defects of optical coatings, local effects of irradiation and lifetime experiments or the like. We present our new set-ups for the spatially resolved measurement of reflectance and transmittance of optical elements. For the transmittance measurement we prepare a homogeneous almost parallel beam and place a CCD detector close behind the sample. For a sufficiently parallel beam and short enough distance, the resulting resolution is defined by the CCD pixel size, 13.5 μm square. For the spatial resolved reflectance measurement, the illuminated spot on the mirror under investigation is imaged with 10x magnification using a Wolter-type optic onto a CCD. The grazing incidence Wolter optic provides a broad-band transmittance for the optics starting from about 6 nm to longer wavelengths and thus allows measuring spectrally resolved the full reflectance curve of Mo/Si mirrors.
Poster Session: Materials
icon_mobile_dropdown
Actinic tools for EUV resist characterization in research and production
R. Lebert, T. Missalla, C. Phiesel, et al.
Most of the cutting edge EUV photoresist research and development is currently being performed at RD beamlines or pre-production scanners. However, during EUVL introduction into mass production, more economic stand-alone solutions at the suppliers are needed. Within the portfolio of actinic EUV tools we offer a variety of solutions for resist characterization (sensitivity, contrast, resolution, absorbance, degradation and stability). Together with our research partners we have building blocks for actinic EUV solutions operating at a wavelength of 13.5 nm. Our expertise is the realization of customized solutions for the industry ranging from proof of principle experiments/setups to full functional industrial tools for the quality assessment in production.
In-situ measurement of outgassing generated from EUV metal oxide nanoparticles resist during electron irradiation
Y. Minami, S. Takahashi, H. Minami, et al.
In this study, we prepared the EUV metal resist, which included ZrO2 nano-particle and three type of ligands such as 2-propenoic acid 2-methyl (MAA), propanoic acid 2-methyl (IBA) and vinylbenzoic acid (VBA). In this study, we prepared the following resists by blending each material separately for evaluating the outgassing from the view point of EUV resist materials. We prepared three type of samples on a basis of ZrO2-MAA, ZrO2-IBA and ZrO2-VBA, each resist was coated on a silicon wafer, and we evaluated the outgassing from these samples during irradiation of 2 keV electron by in-situ mass spectrometry. From the results of mass spectrum, we observed the outgassing of PAG as unique peaks. And each ligand peak was distributed over the low mass range. On the other hand, the ZrO2 peaks could not be observe in mass spectrum of each sample. Thus, ZrO2 nano-particles might not be evaporating during 2keV electron irradiation.
EUV sensitizer for resists and spin-on-carbon materials
Takashi Sato, Yuta Togashi, Sachiko Shinjo, et al.
We are developing the EUV sensitizer for resists and Spin-on-Carbon materials. In previous report, we reported that we had developed the novel materials containing the iodine having high EUV absorption ability, and EUV absorption rate proved to be calculable from density and element composition. In this report, we calculated the improvement rate of the EUV absorption rate when materials with high EUV absorption were used for EUV sensitizers. As the result of this, we found that materials with high EUV absorption were useful for EUV sensitizers. Additionally, we will also report on new materials with a high EUV absorption ability.
Development of absorption-coefficient-measurement method of EUV resist by direct-resist coating on a photodiode
Shota Niihara, Tetsuo Harada, Takeo Watanabe
The resist thickness of EUV lithography is thin less than 50 nm. Since the most photon is not absorbed by the resist, the EUV chemical reaction is not occurred sufficiently. In order to increase chemical reaction, a resist should have a highabsorption material compounds for the EUV photons. Many high-absorbing material has been studied such as hafnium, zinc, tin oxide, and tellurium. Resist performance improvements are expected in sensitivity and reduction of line-edgeroughness. For the development of the high-absorbing resist materials, it is significant to measure the EUV absorption coefficient accurately. For measurement of absorption, it is necessary to measure both transmittance and thickness of resist accurately. We have developed an absorption-coefficient-measurement method of the EUV resist at BL-10 beamline of NewSUBARU synchrotron light facility. The resist is coated on a detector photodiode directly, and EUV transmittance and thickness are measured. We measured an absorption coefficient of PMMA resist, which has very simple chemical composition and ZEP520A resist which is copolymer. The transmittance uniformity on the photodiode was less than 0.6%. This result indicates that the resist sample was coated with good uniformity on the surface of the photodiode. The result of PMMA well corresponded to the calculated value. Thus, it is practicable to measure the absorption coefficient accurately.
Studying resist performance for contact holes printing using EUV interference lithography
Extreme ultraviolet interference lithography (EUV-IL) is relatively simple and inexpensive technique that can pattern high resolution line/space and has been successfully used for the resist performance testing. While the aerial image in EUV-IL formed by two beams is straightforward to understand and has contrast of 1, the aerial image formed by four beams providing contact holes (CHs) is rather complicated. The phases of the interfering beams as well as by the polarization play big roles in the image of the interference pattern and its contrast. To understand thoroughly the formation of CH, we investigate theoretically polarization effect on the aerial image generated with two and four-beam interference. We show the coherent four-beam interference provides the highest contrast (1) with zero initial phase. But the interference pattern strongly depends on the phase difference and switch from one to another when the phase difference between the two pairs of gratings is π/2. Consequently, the contrast also decreases and interference pattern could end with random form when the relative phase of the beams cannot be fully controlled. We propose an incoherent four-beam interference model by intentionally designing the grating with a slightly different pitch to create an optical path difference that is longer than the coherence length of the EUV light (13.5 nm). We also discuss the polarization-induced contrast loss. We verify our analytical model by printing both positive tone chemically amplified resist (CAR) and a negative tone inorganic resist.
Poster Session: Process Control
icon_mobile_dropdown
Study of roughness components in the frequency domain via experimental and simulated images (Conference Presentation)
Correlation length has nowadays become of common use in lithographic applications. Together with the Hurst parameter, the power spectral density curve and the PSD(0), the correlation length ξ enables a full comprehension of the roughness along the edges of the features after lithography and after process (i.e. etch). The correlation length can be intuitively defined by how much different points along the same feature’s edge know each other’s position. Behind this simple definition, ξ wraps multiple physical properties and parameters of the whole lithographic process: 1. Source or exposure dose, which set the lowest roughness values – like the PSD(0) – in the lower frequency ranges, before the optical frequency cut-off and resist reaction-diffusion mechanisms. This source of noise is also called incident Photon Shot Noise, and it is a white-type of noise 2. Mask roughness, composed by absorber roughness (i.e. mask line edge roughness) and mask surface roughness which can form speckle patterns 3. Optical system and illumination which fix the minimum printable pitch, but also the maximum roughness frequency transmittable by the exposure tool 4. Photoresist, mainly split in three components: a. Extinction coefficient k, which determine the minimum absorbed PSN which affects low-frequency roughness b. Physical/chemical reaction-diffusion mechanisms such as electron blur and yield (for EUV lithography), and acid-quencher motion in the mid-high frequency range c. Development dynamics, which can change correlation length accordingly to dissolution properties and development time, forming self-affine structures along the feature’s edges 5. Metrology, which affects the whole spectrum, and can lead to non-negligible roughness bias In this work, we study how the correlation length ξ, and more in general the power spectral density curve, changes considering variations of process conditions for both experimental and simulated features. Controlled process perturbations are applied to all the elements composing the lithographic step: source, mask, optical system, and photoresists. Experiments are carried out at imec, simulations are run with PROLITH, and metrology is performed with Fractilia MetroLER. The purpose of this study is to better understand which information can be extrapolated by a thorough roughness analysis in the frequency domain, and how these can be used to limit the variability and failure rates of the printed features.
Track based techniques to improve high-resolution EUV patterning defectivity
Naoki Shibata, Lior Huli, Corey Lemley, et al.
Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the second generation of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. In addition, CD uniformity improvements must be continued to meet patterning performance requirements. Tokyo Electron Limited (TELTM) and IBM Corporation are continuously developing manufacturing quality processes for EUV.
Improvement of CD stability and defectivity in resist coating and developing process in EUV lithography process
Yuya Kamei, Shinichiro Kawakami, Masahide Tadokoro, et al.
EUV lithography will to be brought into mass production soon. To enhance the yield, improvements in critical dimension (CD) stability, and defectivity still remain of utmost importance. In order to enhance the defectivity ability on contact hole (CH) pattern, continuous work has been executed.1 On 24 nm contact hole half pitch pattern, residue and single-closed hole modes still remain the majority of defects. The main cause of residue defects is that water droplets from the rinse process, in which resist components are absorbed, are dried out on the wafer leaving a remaining residue. While probable causes of single-closed hole are particles included in the coating material or stochastic failures. To reduce the residue defect counts and single-closed hole as caused by in-film particles, optimization of rinse process and material supply system have been carried out. As the result, 97 % of residue defect reduction and 73 % of single-closed hole defect improvement have been achieved as compared with conventional processes. On the other hand, not only coater/developer but also EUV scanner, mask, resist, etc. contribute to the CD variation.1 Global CD uniformity (CDU) is comprised of several components such as wafer-to-wafer CDU, field-to-field one, withinfield one. In this paper, optimization of development processes has been executed to improve field-to-field and within field CDU components. As a result of the optimization, 14 and 6.4 % of field-to-field and within-field CDU improvement have been achieved, respectively.