Proceedings Volume 10257

Digital Optical Computing: A Critical Review

cover
Proceedings Volume 10257

Digital Optical Computing: A Critical Review

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Estimated Publication Date: 10 February 2017
Contents: 1 Sessions, 17 Papers, 0 Presentations
Conference: Digital Optical Computing 1990
Volume Number: 10257

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Digital Optical Computing
Digital Optical Computing
icon_mobile_dropdown
Front Matter: Volume 10257
This PDF file contains the front matter associated with SPIE Proceedings Volume 10257, including the Title Page, Copyright information, Table of Contents, and Conference Committee listing.
Optical computing using self-electro-optic effect devices
Michael E. Prise
The use of GaAs SEEDs (Symmetric self-electro-optic effect devices) and free space optics as interconnect in digital processing systems is discussed. Systems based on using the optical interconnect at the inter-gate and the inter-chip level are described.
Photorefractive approaches to optical interconnects
Optical implementations of interconnects for digital processors are being inves- tigated for their high bandwidth, large interconnect density and high level of immu- nity from interference. Implementations can be categorized into several classes, in- cluding fiber optics, holographic approaches and integrated optics. Photorefractives appear to be attractive media for interconnects requiring dynamic reconfiguration capabilities. The attractiveness stems from the ability to record large multiple inter- connect patterns on a time scale of milliseconds using modest laser powers, typically of a few mW/cm2. Few of the interconnect architectures that have been explored so far take advantage of the dynamic holographic recording properties of photore- fractives, and key issues related to holographic recording of interconnect patterns in these media still need to be considered. For example, fixing approaches are re- quired to record and store multiple holograms with minimum crosstalk, and update strategies are necessary for modifying or removing interconnect patterns without affecting the others. In this paper we dwell on the pertinent physical characteristics of photorefractives, and discuss implications for optical interconnects. For example, we present a procedure for prolonged readout, an architecture for Bragg matched diffraction with light of a different wavelength than is used for recording, a novel approach to a large recording medium consisting of an SBN fiber bundle, and a very high density integrated optical interconnect of 100x100 switches per square centimeter.
Nonlinear FP etalons and microlaser devices
J. L. Jewell
Vertical-cavity surface-emitting lasers'-4 show promise for a variety of applications. High power, low-cost laser sources might result from large coherently-coupled arrays. Small arrays could accomplish high-speed communication between electronic chips, overcoming a bottleneck which presently limits the speed of computers. In the longer term, arrays of laser- based logic gates may be used for photonic switching in communication networks, or for digital or neural computing. In these information processing applications, minimizing the threshold is essential. The lowest threshold edge-emitting lasers5-7 contain a single quantum well and require approximately 0.55 mA. Minimum thresholds will be attained by minimizing the volume of active material in the laser, which in turn requires high- reflectivity mirrors. GaAs-AlAs mirrors grown by molecular beam epitaxy (MBE) have achieved extremely high reflectivity (<99%), high enough to achieve optically-pumped lasing in a vertical cavity with a 80-A-thick single quantum well (SQW) active layer8. Chemically-assisted ion beam etching (CAIE3E) can form waveguiding pillars in such heterostructures with micron dimensions, and optically-pumped lasers with 1.5 pm diameters were demonstrated'. Use of these technologies is effective in fabricating' ultra- small micro-lasers (plasers). In this paper we discuss our initial experiments with plasers, which achieved 1.5 mA room-temperature CW thresholds and up to 8 GHz modulation speeds. We then present all-optical studies (performed earlier) of the characteristics of ultra-small microresonator structures.
Device requirements for digital optical processing
D. A. B. Miller
I discuss the requirements for optical and optoelectronic devices for large-scale use in digital processing systems. Optics has many potential advantages, especially in helping communications within processors. It is difficult to make optical logic devices because few nonlinear effects are large enough and because most device concepts cannot satisfy the qualitative requirements for digital logic. Quantum well symmetric self-electrooptic-effect devices are now good enough optical logic elements for systems experiments with free-space optics. There are also future prospects for large-scale integration of quantum well optical devices with electronics. This may eventually allow the best of both electronic and optical features for better system performance.
Optical interconnect technology for multiprocessor networks
John D. Crow
Networks for connecting processors to each other will require Gigabit/sec data rate; high speed setup; dense packaging, comparable to VLSI electronics; robustness, in terms of reliable components, low link bit-error-rate, and easy reconfigurability; and low cost. A GaAs based OEIC chip with all link adapter functions on one chip, a multichip carrier with self-aligned optics and opto- electronics, and multimode fiber arrays cable and connectors are optical technology elements that could be developed in the near term to provide such a multiprocessor network technology. However, component and link development work is still required to verify the technology's potential for reliability, volume manufacturability, and low cost.
Silicon-based smart spatial light modulators: technology and applications to parallel computers
Silicon-based Smart Spatial Light Modulators (S-SLMs) are reviewed for their potential role in parallel computing. S-SLMs optimally combine the local computation capabilities of electronics with the global interconnection capabilities of optics. They are a critical component well suited for the realization of fine-grain massively parallel computers. A. theoretical framework describing their expected performance in terms of scalability, reli- ability, throughput and packaging issues is presented and several enabling technologies under development are discussed.
Diode laser based optical logic devices
Dispersive optical bistability is reviewed with particular emphasis on trans- mission characteristics, time dependence, cascadability and fan-out capability of bistable diode laser amplifiers. Bistability in inhomogeneously excited diode lasers, gain quenching and polarization bistability are also discussed. Different applica- tions of bistable lasers are then reviewed, including all-optical regeneration, time- division switching, colored optical interconnects, optical crossbar switches and optical look-up tables.
Mass storage for digital optical computers
Optical memory and computing technologies have progressed significantly. in the last few decades. In this paper, we review some of the current planar and 3-D optical memories and discuss how well they meet the requirements for mass memory in digital optical computers.
A digital optical computing architecture based on regular free-space interconnects
Miles Murdocca
A digital optical computing architecture is described that consists of arrays of identical optical logic gates interconnected in free space with regular interconnection patterns. Advantages of this model include massive parallelism, simplicity in device fabrication, tolerance to processing defects, wide bandwidth access to memory, and gate-level reconfigurability. The success of this model relies heavily on advances in device technology, optical systems design, and the ability to map arbitrary digital problems onto the regular structure. Digital design methods are described that address the mapping problem and support a means of recovery from processing defects while supporting new computing paradigms made possible by the underlying technology. The conclusion is made that this approach simplifies requirements of the optical hardware while providing significant computational advantages.
Optical implementations of symbolic substitution
The paper discusses different types of optical implementations of symbolic substitution. A distinction is made between fixed rule systems and dynamic rule systems. The paper also addresses the issue of miniaturization and integration.
Programmable opto-electronic multiprocessor systems
Programmable Opto-electronic Multiprocessor systems (POEMs) are reviewed in terms of their architecture and system implementation considerations. We compare POEMs with VLSI computers based on scalability, performance and technological feasibility. Our results show that for parallel computers requiring a large number of globally con- nected simple processing elements POEM systems out perform their VLSI counterparts. We also report on the implementation of the POEM prototype currently in progress at UCSD.
Optical programmable array logic
Yoshiki Ichioka
The potential advantage of optics is the capability of high-speed parallel transmission and processing of structured data. It appears that investigations directed toward the development of digital optical computers is one of the most promising and ambitious areas in optical computing. We have studied digital optical computers applying the global interconnection of light and image coding technique.
Binary optical cellular automata: concepts and architectures
Since symbolic substitution was first introduced by A. Huang in 1983, architectural concepts of processors using optical interconnects at the innermost level have become clear. We use the concept of an optical cellular automaton to present a simple, yet general description of one class of architecture, namely that of fine grain, massively parallel, space invariant binary processor arrays. The concepts are best developed in terms of pattern recognition, relevant optical systems approaches to the pattern recognitions desired include the sum of product and the cascaded product schemes. Several examples are given to illustrate the general ideas.
Fiber optic computer architectures
Harry F. Jordan
Digital optical computers can be built from waveguide switches and optical fiber. We describe the approach, research drivers, applications, and initial results of a program to build an all-optical, stored program computer in this way.
Digital optical computer fundamentals, implementation, and ultimate limits
Previous optical computing schemes offered analog or quasi-digital accuracies with a single fixed primitive. This paper describes how programmable, arbitrary bit length all digital Central Processing Unit (CPU) computations are now possible by using control logic to compute ALU primitives for emulating a general purpose programmable computer. These primitives are then executed sequentially in order to calculate 32 bit or larger primitives. This paper innitially discusses the theoretical background which addresses Shannon's expansion theorem, Morozov's control operator method, coupled with DeMorgan's laws. These three ingredients are then mapped onto current optical computer hardware technology using laser diode arrays, multichannel acousto-optic spatial light modulators, and avalanche photodiode arrays.
Digital optical cellular computers
This paper reviews digital optical computing architectures for cellular array processing. Some generalizations such as the cellular hypercube are discussed, and binary image algebra (BIA) is summarized as an analysis, synthesis and programming aid for cellular processors. A particular digital optical cellular image processor (DOCIP) design is presented along with its experimental opto- electronic implementation. Some concepts for "smart-pixel" spatial light mod- ulators which combine optical sources and detectors with high speed electronic logic are given, and their use in optoelectronic processors having holographic or other optical interconnection elements is outlined.