Proceedings Volume 10146

Advances in Patterning Materials and Processes XXXIV

cover
Proceedings Volume 10146

Advances in Patterning Materials and Processes XXXIV

Purchase the printed version of this volume at proceedings.com or access the digital version at SPIE Digital Library.

Volume Details

Date Published: 2 May 2017
Contents: 18 Sessions, 60 Papers, 35 Presentations
Conference: SPIE Advanced Lithography 2017
Volume Number: 10146

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • Front Matter: Volume 10146
  • Keynote Session
  • EUV Materials I: Metal-Based EUV Resists: Joint Session with Conferences 10146 and 10143
  • EUV Materials II: Fundamentals I: Joint Session with Conferences 10146 and 10143
  • EUV Materials III: Fundamentals II
  • EUV Materials IV: Novel Materials and Processes
  • Patterning Materials and Etch: Joint Session with Conferences 10146 and 10149
  • 3D Resist Effects and Modeling: Joint Session with Conferences 10146 and 10147
  • DSA Process and Integration: Joint Session with Conferences 10146 and 10144
  • DSA Materials and Processes: Joint Session with Conferences 10146 and 10144
  • DSA Novel Materials
  • DSA Modelling and Fundamentals
  • Hardmasks and Underlayer Materials
  • Process Integration and Multipatterning
  • Poster Session: Advanced Processes
  • Poster Session: Directed Self-Assembly
  • Poster Session: Underlayer Materials
  • Poster Session: Filtration
Front Matter: Volume 10146
icon_mobile_dropdown
Front Matter: Volume 10146
This PDF file contains the front matter associated with SPIE Proceedings Volume 10146 including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.
Keynote Session
icon_mobile_dropdown
DSA patterning options for logics and memory applications
Chi-Chun Liu, Elliott Franke, Yann Mignot, et al.
The progress of three potential DSA applications, i.e. fin formation, via shrink, and pillars, were reviewed in this paper. For fin application, in addition to pattern quality, other important considerations such as customization and design flexibility were discussed. An electrical viachain study verified the DSA rectification effect on CD distribution by showing a tighter current distribution compared to that derived from the guiding pattern direct transfer without using DSA. Finally, a structural demonstration of pillar formation highlights the importance of pattern transfer in retaining both the CD and local CDU improvement from DSA. The learning from these three case studies can provide perspectives that may not have been considered thoroughly in the past. By including more important elements during DSA process development, the DSA maturity can be further advanced and move DSA closer to HVM adoption.
EUV Materials I: Metal-Based EUV Resists: Joint Session with Conferences 10146 and 10143
icon_mobile_dropdown
A numeric model for the imaging mechanism of metal oxide EUV resists
W. D. Hinsberg, S. Meyers
A numeric model is proposed describing the chemical and physical mechanisms governing image formation in metaloxide (MOx) EUV photoresist systems. Experimental measurements of physical and chemical properties are used to develop a quantitative representation of the chemical and physical state of the MOx resist film at each step in the lithographic process. The role of radiation-induced condensation to drive non-linear changes in development rate is elucidated. Lithographic performance parameters are predicted and compared with experimental results.
Reactivity of metal-oxalate EUV resists as a function of the central metal
Steven Grzeskowiak, Amrit Narasimhan, Michael Murphy, et al.
the microelectronics industry. Traditional EUV photoresists have been composed of organic compounds which are moderately transparent to EUV. Resist stochastics and sensitivity can be improved by increasing the number of photons absorbed. Molecular organometallic resists are a type of metal containing resist aimed at improving EUV absorption. This work focuses on studying the role of the metal center (Metal = Co, Fe, Cr) in an oxalate complex by comparing the number of absorbed photons and the photoelectron reactivity in each compound. In the study presented here, the EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane using an Energetiq EQ-10M xenon plasma EUV source. Additionally, the photochemistry is evaluated by monitoring outgassing reaction products. This particular resist platform eliminates oxalate ligands when exposed to electrons or EUV photons resulting in a solubility difference between the exposed and unexposed regions. In the process, carbon dioxide is produced and is monitored using mass spectrometry, where quantitative values are obtained using a calibration technique. For the metal oxalate complexes studied, the absorption of EUV changed minimally due to the low concentrations of metal atoms. However, EUV and electron reactivity greatly changed between the three compounds likely due to the reducibility of the metal center. A correlation is shown between Esize and the reducibility of each photoresist.
Photochemical conversion of tin-oxo cage compounds studied using hard x-ray photoelectron spectroscopy
Yu Zhang, Jarich Haitjema, Xiaomeng Liu, et al.
Several metal-containing molecular inorganic materials are currently considered as photoresists for extreme ultraviolet lithography (EUVL). This is primarily due to their high EUV absorption cross section and small building block size, properties which potentially allow both high sensitivity and resolution as well as low line-edge roughness. The photochemical reaction mechanisms that allow these kinds of materials to function as photoresists, however, are still poorly understood. As a step in this direction, we here discuss photochemical reactions upon deep UV (DUV) irradiation of a model negative-tone EUV photoresist material, namely the well-defined molecular tin-oxo cage compound [(SnR)12O14(OH)6]X2 (R = organic group; X = anion) which is spin coated to thin layers of 20 nm. The core electronic structure (Sn 3d, O 1s and C 1s) of fresh and DUV exposed films were then investigated using synchrotron radiationbased hard X-ray photoelectron spectroscopy (HAXPES). This method provides information about the structure and chemical state of the respective atoms in the material. We performed a comparative HAXPES study of the composition of the tin-oxo cage compound [(SnR)12O14(OH)6](OH)2, either fresh directly after spin-coated vs. DUV-exposed materials under either ambient condition or under a dry N2 atmosphere. Different chemical oxidation states and concentrations of atoms and atom types in the fresh and exposed films were found. We further found that the chemistry resulting from exposure in air and N2 is strikingly different, clearly illustrating the influence of film-gas interactions on the (photo)chemical processes that eventually determine the photoresist. Finally, a mechanistic hypothesis for the basic DUV photoreactions in molecular tin-oxo cages is proposed.
EUV Materials II: Fundamentals I: Joint Session with Conferences 10146 and 10143
icon_mobile_dropdown
Fundamentals of EUV resist-inorganic hardmask interactions
High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.
Photoelectron scattering and acid release in EUV lithography: a simulation study (Conference Presentation)
Abstract BACKGROUND: The ionizing wavelength in extreme ultraviolet (EUV) resist exposure leads to photoelectron scattering and uncertainty in the resulting acid image, producing line-edge roughness (LER) and poor CD uniformity of the printed features. GOALS: Try to determine how photoelectron and acid exposure blur effects affect EUV lithography and how they might be better controlled. Try to determine whether or not, and if so under what conditions, high resist quantum yields are beneficial to EUV lithography. METHODS: Using a stochastic resist simulator, we study the effects of resist properties upon photoelectric scattering, the uncertainty in the acid release and the properties of the after-development photoresist image in high NA EUV lithography. Uncertainty in the release of acids is the fundamental cause of LER and the ultimate limiter of optical lithography technology.
EUV Materials III: Fundamentals II
icon_mobile_dropdown
Modeling and simulation of low-energy electron scattering in organic and inorganic EUV photoresists
Alessandro Vaglio Pret, Trey Graves, David Blankenship, et al.
Alternative photoresist platforms are being developed with the goal of meeting Resolution, Roughness and Sensitivity requirements for EUV lithography. Metal-based materials appear promising due to the high etch resistance, high absorption, and high resolution. However, the exposure mechanism of these materials is quite different from that of organic chemically amplified resists. The current electron-scattering model built into PROLITHTM X6.0 allows a direct comparison of the exposure mechanisms for different resist platforms: in particular, it is now possible to estimate the intrinsic resist uncertainty by evaluating electron, acid shot noise and spatial blurring, while forcing the photon shot noise contribution to zero. A comparison between organic resists and metal-based platforms reveals how the denser nature of the latter help containing the electron scattering in a much closer radius around the absorption event. The consequent electron-reaction (acid generation for photo-active-generator-containing organic materials, ligand dissociation for the metal-oxides) reflects the electron shot noise of the different platforms. The higher absorption combined with lower blur of the metaloxide materials seem to become of crucial importance for the 5 nm technology node and beyond.
Difference in EUV photoresist design towards reduction of LWR and LCDU
Pattern fidelity of EUV lithography is crucial for high resolution features, since small variation can affect device performance and even cause short or open circuit. For 1D features, dense lines and contact holes are the most common features for active, metal and contact layer, therefore line width roughness (LWR) and local critical dimension uniformity (LCDU) are important indexes to monitor. Both LWR and LCDU are greatly influenced by photon and acid shot noise. In addition, LWR is also affected by resist mechanical properties, like pattern collapse. In this study, we studied the influence of different chemically amplified resist components, such as polymer, PAG and quencher for both types and concentrations in order to understand the relative extent of influences of deprotection, acid diffusion, and base neutralization on pattern fidelity. However, conventional methods to approach higher resolution or low LWR/LCDU by sacrificing the dose are not sustainable. In order to continue to improve resist performance, a new component, metal salt sensitizer, is introduced into the resist system. This metal salt is able to achieve 30% dose reduction by increasing EUV absorption, maintaining LWR. We believe metal sensitizer might give us a new way to challenge the RLS trade-off.
Separating the optical contributions to line-edge roughness in EUV lithography using stochastic simulations
Anindarupa Chunder, Azat Latypov, Yulu Chen, et al.
Minimization and control of line-edge roughness (LER) and contact-edge roughness (CER) is one of the current challenges limiting EUV line-space and contact hole printability. One significant contributor to feature roughness and CD variability in EUV is photon shot noise (PSN); others are the physical and chemical processes in photoresists, known as resist stochastic effect. Different approaches are available to mitigate each of these contributions. In order to facilitate this mitigation, it is important to assess the magnitude of each of these contributions separately from others. In this paper, we present and test a computational approach based on the concept of an ‘ideal resist’. An ideal resist is assumed to be devoid of all resist stochastic effects. Hence, such an ideal resist can only be simulated as an ‘ideal resist model’ (IRM) through explicit utilization of the Poisson statistics of PSN2 or direct Monte Carlo simulation of photon absorption in resist. LER estimated using IRM, thus quantifies the exclusive contribution of PSN to LER. The result of the simulation study done using IRM indicates higher magnitude of contribution (60%) from PSN to LER with respect to total or final LER for a sufficiently optimized high dose ‘state of the art’ EUV chemically amplified resist (CAR) model.
An investigation on "nano-swelling" phenomenon during resist dissolution using in situ high-speed atomic force microscopy
This work focuses on the application of a high-speed atomic force microscope (HS-AFM) for the in situ observation / quantification of the resist dissolution process. Specifically, this paper discusses on the existence of what the authors refer to as “nano-swelling” which occurs in the extreme ultraviolet (EUV) exposed areas of a positive-tone chemically amplified resist, just before it dissolves into the aqueous tetramethylammonium hydroxide (TMAH) developer solution. In earlier experiments using typical EUVL resist materials (e.g. polyhydroxystyrene (PHS) polymer and hybrid PHS-methacryl polymer model resists), it was understood that nano-swelling is mainly material type-dependent. As shown in the investigations/results in this paper, nano-swelling has variations in the timing of occurrence and amount/size depending on the size of the dissolvable areas (i.e. larger dissolvable areas dissolve faster, swell more compared to smaller ones). Lastly, a comparison of surface analyses results of a resist pattern before, during, and after the occurrence of nano-swelling suggests the significant impact of these kinds of non-uniformities in the formation of line edge/line width roughness (LER/LWR).
Embedded top-coat for reducing the effect out of band radiation in EUV lithography
Ke Du, Meiliana Siauw, David Valade, et al.
Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure
EUV Materials IV: Novel Materials and Processes
icon_mobile_dropdown
Challenges and progress in low defectivity for advanced ArF and EUV lithography processes using surface localized material technology
The main challenge in ArF lithography is to reduce cost of ownership (CoO) because increase in multi-patterning process is generally required to obtain a fine pattern. As a consequence, industry strongly requires ArF lithography process with a fast scan speed scanner and low defectivity material for CoO. The breakthrough technology to improve defectivity and resolution simultaneously was the polarity-change property of film surface from hydrophobic to hydrophilic after alkaline development process because a property after development process should be only associated with defectivity, not fast scan speed. The materials with high polarity change function were explored to EUV process to achieve low defectivity with good lithography performances.
Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure
Seiji Nagahara, Michael Carcasi, Gosuke Shiraishi, et al.
A new type of Photosensitized Chemically Amplified Resist (PSCAR) **: “PSCAR 2.0,” is introduced in this paper. PSCAR 2.0 is composed of a protected polymer, a “photo acid generator which can be photosensitized” (PS-PAG), a “photo decomposable base (quencher) which can be photosensitized” (PS-PDB) and a photosensitizer precursor (PP). With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then, during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S, 1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML’s NXE:3300 with TEL’s standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV lithography.
Double-deprotected chemically amplified photoresists (DD-CAMP): higher-order lithography
William Earley, Deanna Soucie, Kenji Hosoi, et al.
The synthesis and lithographic evaluation of 193-nm and EUV photoresists that utilize a higher-order reaction mechanism of deprotection is presented. Unique polymers utilize novel blocking groups that require two acid-catalyzed steps to be removed. When these steps occur with comparable reaction rates, the overall reaction can be higher order (≤ 1.85). The LWR of these resists is plotted against PEB time for a variety of compounds to acquire insight into the effectiveness of the proposed higher-order mechanisms. Evidence acquired during testing of these novel photoresist materials supports the conclusion that higher-order reaction kinetics leads to improved LWR vs. control resists.
Patterning with metal-oxide EUV photoresist: patterning capability, resist smoothing, trimming, and selective stripping
Inpria metal-oxide photoresist (PR) serves as a thin spin-on patternable hard mask for EUV lithography. Compared to traditional organic photoresists, the ultrathin metal-oxide photoresist (~12nm after development) effectively mitigates pattern collapse. Because of the high etch resistance of the metal-oxide resist, this may open up significant scope for more aggressive etches, new chemistries, and novel integration schemes. We have previously shown that metal-oxide PR can be successfully used to pattern the block layer for the imec 7-nm technology node[1] and advantageously replace a multiple patterning approach, which significantly reduces the process complexity and effectively decreases the cost. We also demonstrated the formation of 16nm half pitch 1:1 line/space with EUV single print[2], which corresponds to a metal 2 layer for the imec 7-nm technology node. In this paper, we investigate the feasibility of using Inpria’s metal-oxide PR for 16nm line/space patterning. In meanwhile, we also explore the different etch process for LWR smoothing, resist trimming and resist stripping.
Patterning Materials and Etch: Joint Session with Conferences 10146 and 10149
icon_mobile_dropdown
Unexpected impact of RIE gases on lithographic films
M. Glodde, R. L. Bruce, M. J. P. Hopstaken, et al.
Successful pattern transfer from the photoresist into the substrate depends on robust layers of lithographic films. Typically, an alternating sequence of inorganic (most often Si containing) and organic hardmask (HM) materials is used. Pattern transfer occurs then by using reactive ion etch (RIE) chemistry that is selective to one particular layer (such as: flurorinated RIE for Si HM). The impact of these RIE gases onto the layers acting as hardmask for the layer to be etched is typically neglected, except for known sputtering effects. We found that components of the RIE gases can penetrate deep into the “inert” layers and significantly modify them. For example, nitrogen used as component to etch spin-on carbon layers was found to travel up to 70 nm deep into Si HM materials and create layers with different material properties within this film. The question is being raised and discussed to which extent this atom implantation may impact the pattern transfer of the ever shrinking features.
Exploration of a low-temperature PEALD technology to trim and smooth 193i photoresist
Frederic Lazzarino, Sara Paolillo, Anthony Peter, et al.
In this work, we explore the performances of a low-temperature PEALD technology used to trim/clean/smooth and reshape ArF photoresist lines that could subsequently receive an in-situ spacer deposition required to build up any SAxP grating. Different gas mixtures (O2, N2, H2, Ar and combinations) are evaluated on both blanket and patterned wafers. Trim rate, line profile, surface roughness and chemical modification are characterized using ellipsometry, Fourier transform infrared spectroscopy and atomic force microscopy. The photoresist line roughness is measured from top down SEM imaging and the different contributors to the roughness determined from a Power Spectral Density (PSD) analysis. Few results obtained on EUV photoresist blanket wafers using similar plasma treatments will also be briefly presented.
High-aspect ratio silicon structures by displacement Talbot lithography and Bosch etching
Konstantins Jefimovs, Lucia Romano, Joan Vila-Comamala, et al.
Despite the fact that the resolution of conventional contact/proximity lithography can reach feature sizes down to ~0.5- 0.6 micrometers, the accurate control of the linewidth and uniformity becomes already very challenging for gratings with periods in the range of 1-2 μm. This is particularly relevant for the exposure of large areas and wafers thinner than 300 μm. If the wafer or mask surface is not fully flat due to any kind of defects, such as bowing/warpage or remaining topography of the surface in case of overlay exposures, noticeable linewidth variations or complete failure of lithography step will occur. We utilized the newly developed Displacement Talbot lithography to pattern gratings with equal lines and spaces and periods in the range of 1.0 to 2.4 μm. The exposures in this lithography process do not require contact between the mask and the wafer, which makes it essentially insensitive to surface planarity and enables exposures with very high linewidth uniformity on thin and even slightly deformed wafers. We demonstrated pattern transfer of such exposures into Si substrates by reactive ion etching using the Bosch process. An etching depth of 30 μm or more for the whole range of periods was achieved, which corresponds to very high aspect ratios up to 60:1. The application of the fabricated gratings in phase contrast x-ray imaging is presented.
3D Resist Effects and Modeling: Joint Session with Conferences 10146 and 10147
icon_mobile_dropdown
Modeling of NTD resist shrinkage
Thomas Mülders, Hans-Jürgen Stock, Bernd Küchler, et al.
Recent chemically amplified resists used for Negative Tone Development (NTD) processes exhibit a significant amount of resist shrinkage during post-exposure-bake (PEB). Some NTD resists show up to 25% thickness loss during PEB in the exposed regions. A detailed analysis of this and other experimental observations is published elsewhere.1 In particular, it has also been demonstrated that the shrinkage during PEB can have a strong impact on both, the CDs and the resist profile shapes which are formed after Negative Tone Development. We therefore highlight the necessity to augment physical modeling of the PEB process step for these NTD photoresists. To account for the shrinkage process during PEB in lithography simulations we start with the following modeling assumptions: The tendency for shrinkage is due to the collapse of the void space (free volume) which is formed after evaporation of the volatile byproduct of the de-protection reaction. However, this will not only induce a (vertical) resist height loss but causes also lateral displacements inside the resist. This yields distorted concentration profiles of all the species that are typically tracked during PEB simulations. In particular, a distorted degree of protection after PEB will result in resist profiles with tilted sidewall angles and changed CDs. As will be shown these effects are strongly pitch-dependent and must be accounted for in a physical simulation approach as well as in OPC modeling. In this work, we discuss our simulation approach to account for mechanical deformations. Using exemplary simulations, we determine the impact of the main effects which are captured by the model. In order to validate the simulation model, the simulated effect of shrinkage-induced mechanical deformations during PEB on CDs and on resist profiles is compared with experimental data.
A novel methodology for litho-to-etch pattern fidelity correction for SADP process
For 2x nm node semiconductor devices and beyond, more aggressive resolution enhancement techniques (RETs) such as source-mask co-optimization (SMO), litho-etch-litho-etch (LELE) and self-aligned double patterning (SADP) are utilized for the low k1 factor lithography processes. In the SADP process, the pattern fidelity is extremely critical since a slight photoresist (PR) top-loss or profile roughness may impact the later core trim process, due to its sensitivity to environment. During the subsequent sidewall formation and core removal processes, the core trim profile weakness may worsen and induces serious defects that affect the final electrical performance. To predict PR top-loss, a rigorous lithography simulation can provide a reference to modify mask layouts; but it takes a much longer run time and is not capable of full-field mask data preparation. In this paper, we first brought out an algorithm which utilizes multi-intensity levels from conventional aerial image simulation to assess the physical profile through lithography to core trim etching steps. Subsequently, a novel correction method was utilized to improve the post-etch pattern fidelity without the litho. process window suffering. The results not only matched PR top-loss in rigorous lithography simulation, but also agreed with post-etch wafer data. Furthermore, this methodology can also be incorporated with OPC and post-OPC verification to improve core trim profile and final pattern fidelity at an early stage.
DSA Process and Integration: Joint Session with Conferences 10146 and 10144
icon_mobile_dropdown
Nano-defect management in directed self-assembly of block copolymers (Conference Presentation)
Directed self-assembly (DSA) of block copolymers (BCPs) has been expected to become one of the most promising next generation lithography candidates for sub-15 nm line patterning and sub-20 nm contact hole patterning. In order to provide the DSA lithography to practical use in advanced semiconductor device manufacturing, defect mitigation in the DSA materials and processes is the primary challenge. We need to clarify the defect generation mechanism using in-situ measurement of self-assembling processes of BCPs in cooperation with modeling approaches to attain the DSA defect mitigation. In this work, we thus employed in-situ atomic force microscope (AFM) and grazing-incidence small angle X-ray scattering (GI-SAXS) and investigated development of surface morphology as well as internal structure during annealing processes. Figure 1 shows series of the AFM images of PMAPOSS-b-PTFEMA films during annealing processes. The images clearly show that vitrified sponge-like structure without long-range order in as-spun film transforms into lamellar structure and that the long range order of the lamellar structure increases with annealing temperature. It is well-known that ordering processes of BCPs from disordered state in bulk progress via nucleation and growth. In contrary to the case of bulk, the observed processes seem to be spinodal decomposition. This is because the structure in as-spun film is not the concentration fluctuation of disordered state but the vitrified sponge-like structure. The annealing processes induce order-order transition from non-equilibrium ordered-state to the lamellar structure. The surface tension assists the transition and directs the orientation. Figure 2 shows scattering patterns of (a) vicinity of film top and (b) whole sample of the GI-SAXS. We can find vertically oriented lamellar structure in the vicinity of film top while horizontally oriented lamellar structures in the vicinity of film bottom, indicating that the GI-SAXS measurement can clarify the variation of the morphologies in depth direction and that the surface tension affects the orientation of the lamellar structure. Finally a combination of the time development data in the in-situ AFM and the GI-SAXS is used to develop a kinetic modeling for prediction of dynamical change in three-dimensional nano-structures. A part of this work was funded by the New Energy and Industrial Technology Development Organization (NEDO) in Japan under the EIDEC project.
Directed self-assembly enabled fully self-aligned via processing (Conference Presentation)
Paulina A. Rincon-Delgadillo, Gayle Murdoch, Roel Gronheid, et al.
Until recent years, dimensional scaling allowed for the fabrication of smaller and faster devices with increasing capacity. Currently, the limited area and the high density of the features in such devices have made self-aligned contacts/vias (SAC or SAV) a standard technique to overcome the decreasing distance between electrically functional elements in integrated circuits. In SAV schemes, the use of hard masks to define the effective transferred patterns allows for more relaxed via patterning conditions and overlay requirements. In this work, we explore a DSA-based fully self-aligned vias (FSAV) flow to further improve on traditional SAV processes. We use directed self-assembly (DSA) of block copolymers (BCP) to generate topographic features between the metal lines, which in combination with SAV, extend the benefits of this method to both X-Y directions, while maximizing the distance between the contacts and the adjacent not-connected metal lines to avoid potential shorts, as shown in Figure 1. In order to achieve this, patterned metal and/or dielectric lines are selectively functionalized using homopolymer brushes, to form a 1:1 chemical nano-pattern of specific surface energy, such that, when a BCP layer is coated and annealed on these samples, each block will align to the metal (or dielectric) lines underneath, as shown on Figure 2. We subsequently use one of the blocks as a template to generate topographic features between the metal lines. In addition, different hard masks are characterized to find the optimal material for the current scheme. Finally, we define the design rules for integration of the proposed flow into electrical devices.
Electrical study of DSA shrink process and CD rectification effect at sub-60nm using EUV test vehicle
In this study, the integrity and the benefits of the DSA shrink process were verified through a via-chain test structure, which was fabricated by either DSA or baseline litho/etch process for via layer formation while metal layer processes remain the same. The nearest distance between the vias in this test structure is below 60nm, therefore, the following process components were included: 1) lamella-forming BCP for forming self-aligned via (SAV), 2) EUV printed guiding pattern, and 3) PS-philic sidewall. The local CDU (LCDU) of minor axis was improved by 30% after DSA shrink process. We compared two DSA Via shrink processes and a DSA_Control process, in which guiding patterns (GP) were directly transferred to the bottom OPL without DSA shrink. The DSA_Control apparently resulted in larger CD, thus, showed much higher open current and shorted the dense via chains. The non-optimized DSA shrink process showed much broader current distribution than the improved DSA shrink process, which we attributed to distortion and dislocation of the vias and ineffective SAV. Furthermore, preliminary defectivity study of our latest DSA process showed that the primary defect mode is likely to be etch-related. The challenges, strategies applied to improve local CD uniformity and electrical current distribution, and potential adjustments were also discussed.
DSA Materials and Processes: Joint Session with Conferences 10146 and 10144
icon_mobile_dropdown
Dual brush process for selective surface modification in graphoepitaxy directed self-assembly
Jan Doise, Boon Teik Chan, Masafumi Hori, et al.
Grapho-epitaxy directed self-assembly is a potential low-cost solution for patterning via layers with pitches beyond the reach of a single optical lithographic exposure. In this process, selective control of the interfacial energy at the bottom and sidewall of the template is an important but challenging exercise. In this work, a dual brush process is implemented, in which two brushes with distinct end-groups are consecutively grafted to the pre-pattern to achieve fully independent modification of the bottom and sidewall surface of the template. A comprehensive study of hole pattern quality shows that using a dual brush process leads to a substantial improvement in terms of positional and dimensional variability across the process window. These findings will be useful to others who wish to manipulate polymer-surface interactions in directed self-assembly flows.
Use of sequential infiltration synthesis to improve the pattern transfer of PS-b-PLA DSA (Conference Presentation)
Directed self-assembly of block copolymers is considered one of the candidates to fulfill the requirements of the next technological nodes [1,2]. Polymer domains are aligned by using a chemical and/or a topographical pre-pattern in which preferential surfaces to one of the two blocks or neutral wetting areas are created. In particular, polystyrene-block-polymetylmethacrylate (PS-b-PMMA) has been extensively studied during the last years showing strong capabilities to define periodic nanostructures. However, the relatively low Flory-Huggins parameter and, the resulting low segregation strength of PS-b-PMMA systems, limit their achievable resolution to around 11 nm [3]. The application of block copolymer on sub-10 nm technologies requires the development of the new block copolymer generation known as high- block copolymers. Additionally, an important requirement for their integration on the lithography roadmap is the capability of selectively remove one of the two blocks. The etch contrast between the two domains is typically low due to their organic chemistry. In this sense, selective sequential infiltration synthesis by ALD into one of the blocks can be used in order to incorporate an inorganic material. The formed organic/inorganic blend sustains better the plasma etching to remove the non-infiltrated organic block. In this contribution, we show the use of high- polystyrene-b-polylactide acid (PS-b-PLA) lamellar block copolymer for line/space applications. PS-b-PLA has a larger Flory-Huggins parameter (=0.218 at room temperature[4]) compared with PS-b-PMMA, allowing the size reduction of the self-assembled domains. The method to control the orientation of the polymer domains is similar to the one typically used for PS-b-PMMA. Chemical contrast and the subsequent alignment of the polymer domains are achieved by the definition of a chemical pre-pattern on a random copolymer PS-r-PMMA (48% of PS) (figure 1). The polymer brush is grafted on the substrate and then locally modified by the combination of e-beam lithography and soft oxygen plasma. Afterwards, the PS-b-PLA block copolymer is spin-coated and thermally annealed on the chemically pre-patterned substrate. A chemical contrast is observed between the modified and unmodified stripes. While, the lamellar domains are oriented perpendicular to the substrate on unmodified areas, PLA domains are strongly attracted to the O2 modified surfaces inducing a parallel orientation to the substrate. Additionally, the wetting behavior of the polymer domains is also studied through the difference of surface free energy between the substrate and each polymer block. The energy estimated by the Young´s equation [Δγ =γSA -γSB= γAB·cos(ØAB)], where γSA and γSB are the interface tensions between homo-polymers A and B with the substrate, and ØAB is the contact angle between A and B homo-polymers which is obtained in de-wetting experiments. Finally, sequential infiltration synthesis is used to selectively infiltrate alumina (Al3O2) on PLA domains (figure 2). A selective infiltration is achieved because the precursor molecules react with the carbonyl (C=O) groups that are only present in the PLA block. After five cycles of SIS, the SIS modified PLA domains become more resistant to O2 plasma etching than PS enabling the PS etching without using other kind of hard-masks. The research leading to these results received funding from the European Union’s Seventh Framework Program FP7/2007-2013, under the project CoLiSA and the European Nanoelectronics Initiative Advisory Council under the project PLACYD (ENIAC-2013-2). L. Evangelio acknowledges MECD for the PhD contract FPU13/03746 [1] R. Ruiz, H. Kang, F. A. Detcheverry, E. Dobisz, D. S. Kercher, T. R. Albrecht, J. J. de Pablo, P. F. Nealey, Science 321 (2008) 936-939. [2] M. Fernández-Regúlez, L, Evangelio, M. Lorenzoni, J. Fraxedas, F. Perez-Murano, ACS Appl. Mater. Interfaces 6 (2014) 21596-21602. [3] L. Wan, R. Ruiz, H. Gao, K. C. Patel, T. R. Albrecht, J. Yin, J. Kim, Y. Cao, G. Lin, ACS Nano 9 (2015) 7506-7514 [4] A. S. Zalusky, R. Olayo-Valles , J. H. Wolf , M. A. Hillmyer, J. Am. Chem. Soc. 124 (2002) 12761-12773
DSA Novel Materials
icon_mobile_dropdown
High-χ block copolymers for directed self-assembly patterning without the need for topcoat or solvent annealing
Kui Xu, Mary Ann Hockey, Eric Calderas, et al.
High-χ block copolymers for directed self-assembly (DSA) patterning that do not need topcoat or solvent annealing have been developed. A variety of functionalities have been successfully added into the block copolymers, such as balanced surface energy between the polymer blocks, outstandingly high χ, tunable glass transition temperature (Tg), and selective crosslinking. Perpendicular orientation control, as desired for patterning, of the block copolymers can be simply achieved by thermal annealing due to the equal surface energy of the polymer blocks at the annealing temperatures, which allows avoiding solvent annealing or top-coat. The χ value can be tuned up to achieve L0 as low as 8-10 nm for lamellar-structured block copolymers and hole/pillar size as small as 5-6 nm for cylinder-structured block copolymers. The Tg of the block copolymers can be tuned to improve the kinetics of thermal annealing by enhancing the polymer chain mobility. Block-selective crosslinking facilitates the pattern transfer by mitigating pattern collapse during wet etching and improving oxygen plasma etching selectivity between the polymer blocks. This paper provides an introductory review of our high-χ block copolymer materials with various functionalities for achieving improved DSA performance.
A high chi track-compatible DSA for sub-10nm L/S patterning
Douglas J. Guerrero, Kaumba Sakavuyi, Kui Xu, et al.
High chi organic lamellar-forming block copolymers were prepared with 18 nm intrinsic period Lo value. The BCPs were coated on a neutral layer on silicon substrates and were either thermally annealed or exposed to solvent vapors both in a 300mm track. The effect of lowering the glass transition temperature (Tg) on the high chi BCP was investigated. Process temperatures and times were varied. It was found that the BCP having lower Tg exhibits faster kinetics and is able to reach alignment in a shorter time than a similar BCP having higher Tg. Fingerprint defect analysis also shows that the BCP with lower Tg has lower defects. The results show that fingerprint formation can be achieved with either ether or ester type solvents depending on the BCP used. The results show that a track process for solvent annealing of high-χ BCPs is feasible and could provide the path forward for incorporation of BCP in future nodes. Finally, directed self-assembly was demonstrated by implemented high chi polymers on a graphoepitaxy test vehicles. CD and line width roughness was evaluated on patterns with a multiplication factor up to 7.
Hemicellulose block copolymers made from woods for wide-range directed self-assembly lithography enabling wider range of applicable patterning size
Kazuyo Morita, Kimiko Yamamoto
Xylan, one of hemicellulose family, block copolymer was newly developed for wide-range directed self-assembly lithography (DSA). Xylan is higher hydrophilic material because of having many hydroxy groups in one molecule. It means that xylan block copolymer has a possibility of high-chi block copolymer. Generally, DSA is focused on microphase separation for smaller size with high-chi block copolymer and not well known for larger size. In this study, xylan block copolymer was confirmed enabling wider range of patterning size, from smaller size to larger size. The key of xylan block copolymer is a new molecular structure of block copolymer and sugar chain control technology. Sugar content is the important parameter for not only micro-phase separation property but also line edge roughness (LER) and defects. Based on the sugar control technology, wide-range (hp 8.3nm to 26nm L/S and CD 10nm to 51nm hole) DSA patterning was demonstrated. Additionally it was confirmed that xylan block copolymer is suitable for sequential infiltration synthesis (SIS) process.
DSA Modelling and Fundamentals
icon_mobile_dropdown
Optimized phase field models in confinement: fast and accurate simulations of directed self-assembly
Jimmy Liu, Kris T. Delaney, Glenn H. Fredrickson
To design processes that effectively use polymer directed self-assembly, we would like to have a complete picture of stable and defective polymer configurations. Field-theoretic simulations are an effective way to gain knowledge about these configurations and predict defect populations: we can easily vary design parameters such as prepattern dimensions, wetting conditions and polymer composition/architecture and observe their effects on pattern formation. We previously showed that an optimized phase field model, a modification of the Ohta-Kawasaki model, is more accurate at predicting domain spacing and defect formation in bulk systems. This accuracy is achieved by a systematic mapping procedure that optimizes parameters in the model using inexpensive, low-dimensional selfconsistent field theory (SCFT) calculations. We now make two improvements to the model. First, we implement a conjugate gradient method, a more efficient numerical solver for phase field models not available to SCFT, and characterize its performance. We find that an optimized phase field model simulation requires one to two orders of magnitude less computation time than an SCFT simulation of the same system. Second, we extend our model to confined templates and demonstrate that the new model does not suffer from the nonphysical behavior found in other phase field models in the presence of confining walls.
Estimation of effects of thermal fluctuations in graphoepitaxy DSA of cylinder-forming block copolymers
Alec Bowen, Azat Latypov, Todd Bailey
The effects of thermal fluctuations in graphoepitaxy DSA are studied using simulations based on the self-consistent field theory (SCFT) model. The known method of using an external potential field to displace or deform the DSA cylinder is employed to study the dependencies of the fluctuations of the position and the size of the DSA cylinder as a function of the confinement well diameter. An explanation to local minima of these dependencies is proposed. A new and faster method to estimate the effects of fluctuations in DSA based on a family of transformations of SCFT potential fields is described and tested.
Suppression of thermal fluctuation placement errors in linear arrays of block copolymer cylinders
Corinne L. Carpenter, Kris T. Delaney, Glenn H. Fredrickson
Directed self-assembly (DSA) of block copolymers has attracted much interest for its use as a low-cost, high throughput patterning tool to supplement existing lithographic techniques, and especially for its ability to easily pattern vertical interconnect accesses (VIAs).1 Assembling multiple cylinders in a single template has obvious advantages for feature density increase. However, denser patterning comes at a cost, due to more abundant defect modes2 and increased susceptibility to placement errors due to thermal fluctuations. Linear arrays of cylinders have been shown in a simplified model to contain collective excitations, ultimately leading to unbounded positional variance away from their equilibrium locations in a manner analogous to a one-dimensional crystal.3 In order to reduce this positional uncertainty, we introduce chemically selective stripes on the substrate of the system. These chemoepitaxially patterned regions create an energetic preference for the equilibrium configuration of the system, pinning the VIAs in place. In this study, we use three-dimensional self-consistent field theory (SCFT) simulations and complex Langevin (CL) sampling to investigate the effects of thermal fluctuations on cylinder positions in linear arrays of VIAs with preferentially striped substrates. We interrogate the relationship between stripe interaction strength and positional variance, compare the magnitude of reduction with a Landau-Peierls analysis on a simplified system, and propose a predictive model for placement error in similarly chemo patterned systems. Since the cylinders are flexible, we propose a maximum system height for linear arrays with acceptably low placement error.
Evaluating structure in thin block copolymer films with soft x-rays (Conference Presentation)
Daniel Sunday, Christopher Liman, Adam F. Hannon, et al.
The semiconductor industry is evaluating a variety of approaches for the cost efficient production of future processing and memory generations. Amongst the technologies being explored are multiple patterning steps, extreme ultraviolet (EUV) lithography, multiple-beam electron beam lithography and the directed self-assembly (DSA) of block copolymers (BCPs). BCP DSA utilizes a chemical or topographical template to induce long range order in a thin film of BCP which enhances the resolution of the original pattern. The characterization of buried structure within a DSA BCP film is challenging due to the lack of contrast between the organic materials. Critical-dimension small angle x-ray scattering (CDSAXS) measurements were performed on DSA BCP films, using soft X-rays to tune the contrast, in order to understand the relationship between template structure and film morphology.1 The results of these measurements show that as the width of the guiding stripe widens the arrangement of the BCP on the guiding stripe inverts, shifting from the A block being centered on the guiding stripe to the B block being centered on the guiding stripe. The initial results of integration of mean field simulations into the analysis of scattering data will also be discussed. In addition to examining the BCP structure with CDSAXS, soft X-ray reflectivity2 measurements were performed on BCP to better understand the relationship between interface width for systems with alternative architectures (triblocks) and additives (polymers/ionic liquids). The addition of a selectively associating additive increases the interaction parameter between the two blocks, resulting in the reduction of the interface width and access to smaller pitches. The use of soft X-ray reflectivity allows the evaluation of the distribution of the additive. (1) Sunday, D. F.; Hammond, M. R.; Wang, C.; Wu, W.; Delongchamp, D. M.; Tjio, M.; Cheng, J. Y.; Kline, R. J.; Pitera, J. W. Determination of the Internal Morphology of Nanostructures Patterned by Directed Self Assembly. ACS Nano 2014, 8, 8426–8437. (2) Sunday, D. F.; Kline, R. J. Reducing Block Copolymer Interfacial Widths through Polymer Additives. Macromolecules 2015, 48, 679–686.
Lamellar orientation of block copolymer using polarity switch of nitrophenyl self-assembled monolayer induced by electron beam
Directed self-assembly (DSA) was investigated on self-assembled monolayers (SAMs) of 6-(4-nitrophenoxy) hexane-1-thiol (NPHT), which were chemically modified by electron beam (EB) irradiation. By irradiating a responsive interfacial surface, the orientation and selective patterning of block copolymer domains could be achieved. We demonstrated that spatially-selective lamellar orientation of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) could be induced via modification of an underlying SAM; for instance the conversion of an NO2 group to an NH2 group, induced by EB. The lamellar orientation of PS-b-PMMA was controlled by the change in the polarity of different regions of the SAM using EB lithography. The reductive treatment of SAM substrates plays a crucial role in the orientation of block copolymer. This method might greatly simplify block copolymer DSA processes as compared to the conventional multi-step chemo-epitaxy DSA process. By examining the lamellae orientation by EB, we found that the vertical orientation persists only for appropriate an irradiation dose and annealing temperature.
Hardmasks and Underlayer Materials
icon_mobile_dropdown
Development of TiO2 containing hardmasks through PEALD deposition
Anuja De Silva, Indira Seshadri, Kisup Chung, et al.
With the increasing prevalence of complex device integration schemes, tri layer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination, and are limited in their ability to scale down thickness without comprising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of PEALD deposited TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a tri layer scheme patterned with PEALD based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited vs a spin-on metal hardmask.
Investigation on spin-on hard mask integration
Yushin Park, Seungwook Shin, Yunjun Kim, et al.
For fine patterning, there are two possible hard mask integration schemes: quad-layer and tri-layer systems. Due to the different structures and processes between quad- and tri- layer systems, each system needs specific chemical and physical properties of the hard mask. In this paper, we report the properties of the carbon-based spin-on hard mask (CSOH) candidates for various hard mask integrations.
Novel gap filling BARC with high chemical resistance
Hiroto Ogata, Yuto Hashimoto, Yuki Usui, et al.
In the recent of the semiconductor manufacturing process, variety of properties (narrow gap-filling and planarity etc.) are required to organic BARC in addition to the conventional requirements. Moreover, SC-1 resistance is also needed because BARC is often used as a wet etching mask when TiN processing. But conventional BARC which include crosslinker doesn’t have enough SC-1 resistance, and we found that it is also difficult to obtain good gap-filling and good planarity because of outgassing and film shrinkage derived from the crosslinker. In this study, we have developed the new self-crosslinking BARC. The new crosslinking system shows low outgassing and film shrinkage because of not including crosslinker. So, novel BARC has better gap filling property and planarity and over 3 times higher SC-1 resistance than that of conventional BARC. Moreover, by adding the low molecular weight additive which has high adhesive unit to TiN surface, the novel BARC has over 10 times higher SC-1 resistance than that of conventional BARC. And this novel BARC can be applied both ArF and KrF lithography process because of broad absorbance, high etching rate, chemical resistance (SC-1, SC-2, DHF, and others) and good film thickness uniformity. In this paper, we will discuss the detail of new self-crosslinking BARC in excellent total performance and our approach to achieve high chemical resistance.
CMOS patterning over high-aspect ratio topographies for N10/N7 using spin-on carbon hardmasks
Toby Hopf, Monique Ercken, Geert Mannaert, et al.
In this paper proof-of-principle demonstrations of spin-on carbon (SOC)/spin-on glass (SOG)-based lithography processes which could replace standard patterning stacks within the FEOL for upcoming advanced nodes like N10/N7 are presented. At these dimensions the standard lithography approaches that have been utilized within the previous nodes will begin to run into fundamental limitations as a result of the extremely high aspect ratios of the device topography, requiring both new materials as well as new patterning flows in order to allow for continued device scaling. Here, novel SOC/SOG-based patterning flows have been demonstrated which could be applied to implement Source Drain Extension implantations and epitaxial growth processes for CMOS FinFET device architectures even down at N10/N7 dimensions.
Inkjet-based adaptive planarization (Conference Presentation)
Shrawan Singhal, Michelle M. Grigas, Niyaz Khusnatdinov, et al.
Planarization is a critical unit step in the lithography process because it enables patterning of surfaces with versatile pattern density without compromising on the stringent planarity and depth-of-focus requirements. In addition to nanoscale pattern density variation, parasitics such as pre-existing wafer topography, can corrupt the desired process output after planarization. The topography of any surface can be classified in three broad categories, depending upon the amplitude and spatial wavelength of the same [1], [2]: (i) nominal shape, (ii) nanotopography and (iii) roughness. The nominal shape is given by the largest spatial wavelengths, typically < 20mm. For spatial length scales of ~1-20mm, height variations at this spatial wavelength range are classified as nanotopography. Roughness usually has lower spatial wavelengths. While the nominal shape of a substrate surface is usually decided by the nature of wafer preparation and the tooling and chucking infrastructure used in the same, roughness is usually mitigated by standard polishing techniques. It is the intermediate nanotopography that is probably the most critical surface topography parameter. This is because most traditional polishing techniques cannot selectively address pre-existing substrate topography, without introducing a parasitic signature at the scale of nanotopography. Moreover, fields with pattern density variation typically also have length scales that are commensurate with nanotopography. It is thus instructive to summarize existing planarization technology to understand current limitations. Spin on Glass and Etch back is one technique used for micron scale device manufacturing [3]. As the name implies, a glass dielectric is spin-coated on the substrate followed by etching in a chemistry that ensures equal etching rates for both the sacrificial glass and the underlying film or substrate material. Photoresists may also be used instead of glass. However, the global planarity that can be achieved by this technique is limited. Also, planarization over a large isolated topographical feature has been studied for the reverse-tone Jet-and-Flash Imprint Lithography process, also known as JFIL-R [4]. This relies on surface tension and capillary effects to smoothen a spin-coated Si containing film that can be etched to obtain a smooth profile. To meet the stringent requirement of planarity in submicron device technologies Chemical Mechanical Planarization (CMP) is the most widely used planarization technology [5], [6]. It uses a combination of abrasive laden chemical slurry and a mechanical pad for achieving planar profiles. The biggest concern with CMP is the dependence of material removal rate on the pattern density of material, leading to the formation of a step between the high density and low-density. The step shows up as a long-range thickness variation in the planarized film, similar in scale to pre-existing substrate topography that should have been polished away. Preventive techniques like dummy fill and patterned resist can be used to reduce the variation in pattern density. These techniques increase the complexity of the planarization process and significantly limit the device design flexibility. Contact Planarization (CP) has also been reported as an alternative to the CMP processing [7], [8]. A substrate is spin coated with a photo curable material and pre baked to remove residual solvent. An ultra-flat surface or an optical flat is pressed on the spin-coated wafer. The material is forced to reflow. Pressure is used to spread out material evenly and achieve global planarization. The substrate is then exposed to UV radiation to harden the photo curable material. Although attractive, this process is not adaptive as it does not account for differences in surface topography of the wafer and the optical flat, nor can it address all the parasitics that arise during the process itself. The optical flat leads to undesirable planarization of even the substrate nominal shape and nanotopography, which corrupts the final film thickness profile. Hence, it becomes extremely difficult to eliminate this signature to a desirable extent without introducing other parasitic signatures. An example of this is shown in Figure 1. In this paper, a novel adaptive planarization process has been presented that potentially addresses the problems associated with planarization of varying pattern density, even in the presence of pre-existing substrate topography [9]. This process is called Inkjet-enabled Adaptive Planarization (IAP). The IAP process uses an inverse optimization scheme, built around a validated fluid mechanics-based forward model [10], that takes the pre-existing substrate topography and pattern layout as inputs. It then generates an inkjet drop pattern with a material distribution that is correlated with the desired planarization film profile. This allows a contiguous film to be formed with the desired thickness variation to cater to the topography and any parasitic signatures caused by the pattern layout. This film is formed by the coercing action of a compliant superstrate, which forces the drops to spread and merge and eliminates any bubble trapping. Then, the film is cured using blanket UV exposure and the superstrate separated to reveal the desired planarized film. The use of an inverse optimization algorithm allows substrate topography to be addressed adaptively. In other words, the algorithm can generate a drop pattern that does not disturb the pre-existing substrate topography substantially, but only caters to the pattern density variation. This process has potential advantages over other planarization techniques because of its adaptive nature. Hence, the IAP process can cater to substrates of varying topographies and pattern densities by changing the inkjetted material distribution, without any changes in hardware. The IAP process can also address pre-existing substrate topography selectively by conforming to the nominal shape while planarizing over the pattern layout. A schematic of the IAP process is shown in Figure 2. The goal of this paper is to present some preliminary results from the IAP process. A test pattern layout has been generated with the help of photolithography, and is shown in Figure 3. For the purpose of this trial, the nanoscale features have not been patterned, as it is expected that the planarization process will be blind to their presence. Thus, areas with nanoscale patterns have been patterned as a single feature of SiO2 with height equal to 100 nm. These features are adjacent to pattern-less areas, thus marking a drastic change in pattern density. As can be seen in Figure 4, the smallest length scale across which pattern density changes, is 70 microns. The goal of the IAP process is to be able to planarize this pattern with a film that conforms to pre-existing substrate topography. The targeted planarity of the film is 95% 3sigma, while the targeted film thickness at the tallest feature is less than 30 nm. In another trial, the inverse tone of the same layout will also be tested. This pattern has features of height equal to 100 nm where the previous pattern did not. The targeted metrics for the inverse layout are the same as the nominal layout.
Development of a robust reverse tone pattern transfer process
Niyaz Khusnatdinov, Gary Doyle, Douglas J. Resnick, et al.
Pattern transfer is critical to any lithographic technology, and plays a significant role in defining the critical features in a device layer. As both the memory and logic roadmaps continue to advance, greater importance is placed on the scheme used to do the etching. For many critical layers, a need has developed which requires a multilayer stack to be defined in order to perform the pattern transfer. There are many cases however, where this standard approach does not provide the best results in terms of critical dimension (CD) fidelity and CD uniformity. As an example, when defining a contact pattern, it may be advantageous to apply a bright field mask (in order to maximize the normalized inverse log slope (NILS)) over the more conventional dark field mask. The result of applying the bright field mask in combination with positive imaging resist is to define an array of pillar patterns, which then must be converted back to holes before etching the underlying dielectric material. There have been several publications on tone reversal that is introduced in the resist process itself, but often an etch transfer process is applied to reverse the pattern tone. The purpose of this paper is to describe the use of a three layer reverse tone process (RTP) that is capable of reversing the tone of every printed feature type. The process utilizes a resist pattern, a hardmask layer and an additional protection layer. The three layer approach overcomes issues encountered when using a single masking layer. Successful tone reversal was demonstrated both on 300mm wafers and imprint masks, including the largest features in the pattern, with dimensions as great as 60 microns. Initial in-field CD uniformity is promising. CDs shifted by about 2.6nm and no change was observed in either LER or LWR. Follow-up work is required to statistically qualify in-field CDU and also understand both across wafer uniformity and feature linearity.
Process Integration and Multipatterning
icon_mobile_dropdown
Pattern uniformity control in integrated structures
In our previous paper dealing with multi-patterning, we proposed a new indicator to quantify the quality of final wafer pattern transfer, called interactive pattern fidelity error (IPFE). It detects patterning failures resulting from any source of variation in creating integrated patterns. IPFE is a function of overlay and edge placement error (EPE) of all layers comprising the final pattern (i.e. lower and upper layers). In this paper, we extend the use cases with Via in additional to the bridge case (Block on Spacer). We propose an IPFE budget and CD budget using simple geometric and statistical models with analysis of a variance (ANOVA). In addition, we validate the model with experimental data. From the experimental results, improvements in overlay, local-CDU (LCDU) of contact hole (CH) or pillar patterns (especially, stochastic pattern noise (SPN)) and pitch walking are all critical to meet budget requirements. We also provide a special note about the importance of the line length used in analyzing LWR. We find that IPFE and CD budget requirements are consistent to the table of the ITRS’s technical requirement. Therefore the IPFE concept can be adopted for a variety of integrated structures comprising digital logic circuits. Finally, we suggest how to use IPFE for yield management and optimization requirements for each process.
Advanced hole patterning technology using soft spacer materials (Conference Presentation)
Jong Keun Park, Phillip D. Hustad, Emad Aqad, et al.
A continuing goal in integrated circuit industry is to increase density of features within patterned masks. One pathway being used by the device manufacturers for patterning beyond the ~80nm pitch limitation of 193 immersion lithography is the self-aligned spacer double patterning (SADP). Two orthogonal line space patterns with subsequent SADP can be used for contact holes multiplication. However, a combination of two immersion exposures, two spacer deposition processes, and two etch processes to reach the desired dimensions makes this process expensive and complicated. One alternative technique for contact hole multiplication is the use of an array of pillar patterns. Pillars, imaged with 193 immersion photolithography, can be uniformly deposited with spacer materials until a hole is formed in the center of 4 pillars. Selective removal of the pillar core gives a reversal of phases, a contact hole where there was once a pillar. However, the highly conformal nature of conventional spacer materials causes a problem with this application. The new holes, formed between 4 pillars, by this method have a tendency to be imperfect and not circular. To improve the contact hole circularity, this paper presents the use of both conventional spacer material and soft spacer materials. Application of soft spacer materials can be achieved by an existing coating track without additional cost burden to the device manufacturers.
Line end shortening and iso-dense etch bias improvement by ALD spacer shrink process
Rui Chen, Granger Lobb, Aleksandra Clancy, et al.
Abstract Multiple patterning employing etch shrink extends the scaling of hardmask open CD (HCD) to sub-50nm regime. A plasma-assisted shrink technique is primarily used in the back-end-of-line (BEOL) however it faces major challenges such as the line end shortening (LES) and large critical dimension iso-dense bias (IDB). In order to mitigate these two problems we apply an atomic layer deposition (ALD) spacer shrink process at 10nm metal interconnect layer with sub-20nm minimum half-pitch. As a result we observed 8nm LES improvement in tip-to-tip (T2T) two-dimensional (2D) structures, and 5nm IDB reduction in one-dimensional (1D) structures. These improvements suggest that the ALD spacer shrink can contribute to more precise CD control in multiple patterning.
Fabrication MoS2 biosensor to detect lower-concentrated area of biological molecules (Conference Presentation)
Erika Yang, Byunghoon Ryu, Hongsuk Nam, et al.
Two dimensional layered transition metal dichalcogenides (TMDC) materials have the growing potential to upstage graphene in the next generation of biosensors in detecting lower-concentrated areas of biomolecules. The current gold-standard detection method is the enzyme-linked immunosorbent assay (ELISA), an immunological assay technique that makes use of an enzyme bonded to a particular antibody or antigen. However, this technique is not only bulky, labor-intensive, and time extensive, but more importantly, the ELISA has relatively low detection limits of only 600 femtomolar (fM). In this work, for the first time, we present a novel flexible, sensitive MoS2 (molybdenum disulfide) biosensor, as shown in Figure 1, composed of few-layer of MoS2 as the channel material, and flexible polyimide as the substrate. In order to nano-fabricate this flexible biosensor, we mechanically transferred a few layers of MoS2 onto the flexible substrate polyimide and photolithography to create a patterning on the surface, and as a result, we were able to create a transistor that used MoS2 as its conductance channel. We successfully fabricated this MoS2 biosensor onto a flexible polyimide substrate. Furthermore, the fabricated flexible MoS2 biosensor can be utilized for quantifying the time-dependent reaction kinetics of streptavidin-biotin binding. Figure 2 shows the transfer characteristics of flexible MoS2 biosensors measured under different concentrations of streptavidin. The flexible MoS2 biosensor could illustrate a faster detection time in matters of minutes, and higher sensitivity with detection limits as low as 10 fM. Time versus equilibrium constants will be presented in details.
Poster Session: Advanced Processes
icon_mobile_dropdown
Chemical changes in hybrid photoresists before and after exposure by in situ NEXAFS analysis
Roberto Fallica, Benjamin Watts, Gioia Della Giustina, et al.
Due to its chemical specificity, the near edge X-ray absorption fine structure spectroscopy is an interesting technique to study the changes in hybrid organic-inorganic photoresists. In this work, we analyzed the chemical changes occurring in photoresists synthesized from organically modified precursors and transition metal alkoxides by sol-gel route. These systems are nonchemically amplified resists for ultraviolet, extreme ultraviolet, and electron beam lithography. They are based on Si, Zr, and Ti oxides or a combination of these. The experiments were conducted at the PolLux beamline of the Swiss Light Source, by a scanning transmission X-ray microscopy, which combines the spatially-resolved microscopy and fine structure spectroscopy at once. The absorption spectra were collected in the energy range of the carbon edge (≈ 290 eV) before and after in situ exposure of the photoresists to 500 eV photons. The variations in peak intensity after exposure reveal the changes in the chemical environment of carbon and the chemical configuration of the organic ligands, regardless of the inorganic part. It was found that the photon exposure induced sizable photodegradation or photopolymerization of organic groups (phenyl or methyl methacrylate, respectively). These mechanisms contribute to the foundation for the exposure reaction in negative-tone hybrid photoresists. Interestingly, it was also found that the detachment of the phenyl ligand occurs in a variety of possible pathways to condensation. We believe that our results and approach can provide a better understanding of photochemistry of resists, in particular for extreme ultraviolet lithography.
Chemically amplified i-line positive resist for next-generation flat panel display
Hsing-Chieh Lee, Ying-Hao Lu, Shin-Yih Huang, et al.
Traditional diazonaphthoquinone (DNQ) positive photoresists are widely used for TFT-LCD array process. Current LTPS technology has more than 600ppi resolution is required for small or middle-sized TFT liquid crystal display panels. One of the ways to enhance resolution is to apply i-line single exposure system instead of traditional g/h/ibroadband exposure system. We have been developing i-line chemically amplified photoresist ECA 200 series for the next generation flat panel display (FPD). ECA 200 consists of three components: a phenol resin, a photo acid generator and dissolution enhancer. We applied two different types of dissolution enhancers with two different kinds of protected groups to our resist materials. As a result, we achieved higher sensitivity, higher resolution, less footing of the resist profile and reduced standing wave effect compared with traditional DNQ photoresists. In addition, we have found further property of photoresist that does not need post exposure bake (PEB) process. This resist has a great advantage at most of current panel plants without PEB process.
High-resolution, high-throughput, CMOS-compatible electron-beam patterning
Two scanning electron beam lithography (SEBL) patterning processes have been developed, one positive and one negative tone. The processes feature nanometer-scale resolution, chemical amplification for faster throughput, long film life under vacuum, and sufficient etch resistance to enable patterning of a variety of materials with a metal-free (CMOS/MEMS compatible) tool set. These resist processes were developed to address two limitations of conventional SEBL resist processes: (1) low areal throughput and (2) limited compatibility with the traditional microfabrication infrastructure.
Nanoimprint lithography using gas permeable template
Makoto Hanabata, Satoshi Takei, Shinya Nakajima, et al.
Cracked gasses generated from imprinted materials and/or involved solvents cause transcriptional defects on template materials and insufficient filling of imprinted materials in nanoimprint lithography. This study aims to create the novel gas permeable nanoimprint template materials to prevent such defects caused by cracked gasses and involved solvents. A biomass based template was investigated in thermal and UV nanoimprint lithography instead of the conventional template such as quartz, PMDS, DLC, block copolymers. The line patterning results using the biomass based gas permeable template in nanoimprint lithography were better to reduce the line pattern failure compared with that of quartz based template as a reference. Gas transmission coefficient was evaluated for template materials having thermal crosslinkable urethane groups. The proposed nanoimprint lithography using biomass based gas permeable template is one of the most promising processes ready to be investigated for mass-production of fine device applications.
Development of novel purifiers with appropriate functional groups based on solvent polarities at bulk filtration
Tetsu Kohyama, Fumiya Kaneko, Saksatha Ly, et al.
Weak-polar solvents like PGMEA (Propylene Glycol Monomethyl Ether Acetate) or CHN (Cyclohexanone) are used to dissolve hydrophobic photo-resist polymers, which are challenging for traditional cleaning methods such as distillation, ion-exchange resins service or water-washing processes. This paper investigated two novel surface modifications to see their effectiveness at metal removal and to understand the mechanism. The experiments yielded effective purification methods for metal reduction, focusing on solvent polarities based on HSP (Hansen Solubility Parameters), and developing optimal purification strategies.
Effects of phenolic compound addition to fractionated Novolak-based resists to improve resolution capability(2)
Atsushi Sekiguchi, Yoko Matsumoto, Yoshihisa Sensu, et al.
Novolak resists have been widely used in IC production and are used to this day in the production of flat panel displays (FPDs) and MEMS. However, with the advent of high-definition devices, FPDs must meet growing requirements for finer dimensions. These trends have generated requirements for higher sensitivity, higher resolution, and wider process margins for novolak resists. Using a lithography simulator with the goal of improving the performance of novolak resists, we examined various approaches to improving resist materials. This report discusses efforts to improve resolution and to broaden process margins using a novolak resin that exhibits a higher degree of fractionation than in the previous report (maximum fractionated resin) with the addition of low molecular weight phenol resins.
Study for new hardmask process scheme
Daeyoup Lee, Phillip Tatti, Richard Lee, et al.
Hardmask processes are a key technique to enable low-k semiconductors, but they can have an impact on patterning control, influencing defectivity, alignment, and overlay. Specifically, amorphous carbon layer (ACL) hardmask schemes can negatively affect overlay by creating distorted alignment signals. A new scheme needs to be developed that can be inserted where amorphous carbon is used but provide better alignment performance. Typical spin-on carbon (SOC) materials used in other hardmask schemes have issues with DCD-FCD skew. In this paper we will evaluate new spin-on carbon material with a higher carbon content that could be a candidate to replace amorphous carbon.
Pattern optimizing verification of self-align quadruple patterning
Lithographic scaling continues to advance by extending the life of 193nm immersion technology, and spacer-type multi-patterning is undeniably the driving force behind this trend. Multi-patterning techniques such as self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) have come to be used in memory devices, and they have also been adopted in logic devices to create constituent patterns in the formation of 1D layout designs. Multi-patterning has consequently become an indispensible technology in the fabrication of all advanced devices. In general, items that must be managed when using multi-patterning include critical dimension uniformity (CDU), line edge roughness (LER), and line width roughness (LWR). Recently, moreover, there has been increasing focus on judging and managing pattern resolution performance from a more detailed perspective and on making a right/wrong judgment from the perspective of edge placement error (EPE). To begin with, pattern resolution performance in spacer-type multi-patterning is affected by the process accuracy of the core (mandrel) pattern. Improving the controllability of CD and LER of the mandrel is most important, and to reduce LER, an appropriate smoothing technique should be carefully selected. In addition, the atomic layer deposition (ALD) technique is generally used to meet the need for high accuracy in forming the spacer film. Advances in scaling are accompanied by stricter requirements in the controllability of fine processing. In this paper, we first describe our efforts in improving controllability by selecting the most appropriate materials for the mandrel pattern and spacer film. Then, based on the materials selected, we present experimental results on a technique for improving etching selectivity.
Optimize of shrink process with X-Y CD bias on hole pattern
Kyohei Koike, Arisa Hara, Sakurako Natori, et al.
Gridded design rules[1] is major process in configuring logic circuit used 193-immersion lithography. In the scaling of grid patterning, we can make 10nm order line and space pattern by using multiple patterning techniques such as self-aligned multiple patterning (SAMP) and litho-etch- litho-etch (LELE)[2][3][4] . On the other hand, Line cut process has some error parameters such as pattern defect, placement error, roughness and X-Y CD bias with the decreasing scale. We tried to cure hole pattern roughness to use additional process such as Line smoothing[5] . Each smoothing process showed different effect. As the result, CDx shrink amount is smaller than CDy without one additional process. In this paper, we will report the pattern controllability comparison of EUV and 193-immersion. And we will discuss optimum method about CD bias on hole pattern.
Pattern collapse solution for asymmetric pattern
C. J. Tu, C. H. Huang, Elvis Yang, et al.
One of the most critical issues associate with decreasing photo-resist feature size is pattern collapse, and more serious pattern collapse can be easily observed especially in asymmetric pitch environment due to unbalanced capillary stress acting on photo-resist pattern during development rinse step. The pattern collapse would kill product yield in the worse condition. This work investigates the approaches of mitigating the asymmetric pattern collapse behavior, such as adjusting photoresist pattern aspect ratio, applying surfactant during development rinse to reduce the solution surface tension, and altering underlying anti-reflection coating and hard-mask combinations to tailor the photo-resist bottom profile as well as decreasing developer permeation into photo-resist interface. Pattern sizing to resist unbalanced capillary force is also explored in the asymmetric pattern region. Two novel layout methods to mitigate asymmetric dummy pattern collapse were demonstrated and both methods were confirmed to have higher immunity against pattern collapse in asymmetric pitch environment.
New processes associated with electron-beam lithography for ultra-small resonators
Landobasa Y. M. Tobing, Dao Hua Zhang
High density ultrahigh resolution patterning with desired shape and size is a crucial requirement in nanotechnology and its applications. Electron beam lithography (EBL) is the most widely used lithography tool for these applications. However, achieving cost-effective patterning with sub-10-nm critical dimension has been challenging due to the inherent tradeoff between resolution and throughput. In this paper, we present cost-effective new processes associated with EBL technique, which include optimized resist selection and processing as well as sonicated cold development process. Using this process, we demonstrate sub-10-nm diameter metal dots at a pitch of ~34 nm and sub-15 nm wide metal lines. Based on the same processes, we demonstrate the fabrication of u-shaped split ring resonator array of different metals with smallest fabricated resonator with ~60 nm size and v-shape SRRs with the smallest gap spacing of ~30 nm. By adjusting the SRR gap spacing through its arm length and opening angle, we have successfully demonstrated magnetic and electric resonances across the visible and ultraviolet range.
Free energy of defects in chemoepitaxial block copolymer directed self-assembly: effect of pattern density and defect position
Benjamin D. Nation, Caleb L. Breaux, Peter J. Ludovice, et al.
Block copolymers (BCPs) can phase separate to form periodic structures with small spacings, making BCPs an attractive option for furthering the ability of optical lithography. Chemoepitaxy is a method of directed self-assembly (DSA) that uses preferential pinning stripes to guide the BCP. The periodicity of the underlayer’s pinning stripe compared to the periodicity of the BCP is defined as the density multiplication. In this study molecular dynamics simulations are used to explore the effect density multiplication and pinning stripe position has on the free energy difference between a defective and defect-free BCP film. For all defect orders the highest free energies were obtained when a pinning stripe was located directly under or adjacent to the terminating block. At high density multiplications, the defects were found to approach the free energy of the same defect on an unpatterned underlayer. For all density multiplications and pinning stripe positions the free energy of defective films is significantly higher than that of defect-free films, suggesting the presence of defects in experiment is likely due to kinetic entrapment of defects. Free energy initially increases with increasing defect size, but was found to level off and even decrease for the largest defects in this work.
Poster Session: Directed Self-Assembly
icon_mobile_dropdown
DSA process window expansion with novel DSA track hardware
Masahiko Harumoto, Harold Stokes Jr., Yuji Tanaka, et al.
PS-b-PMMA block copolymer is a well-known DSA material, and there are many DSA patterning methods that make effective the use of such 1st generation materials. Consequently, this variety of patterning methods opens a wide array of possibilities for DSA application[1-4]. Last year, during the inaugural International DSA Symposium, researchers and lithographers concurred on common key issues for DSA patterning methods such as: defect density, LWR, placement error, etc. Defect density was specifically expressed as the biggest obstacle for new processes. Coat-Develop track systems contribute to the DSA pattern fabrication and also influence the DSA pattern performances[4]. In this study, defectivity was investigated using an atmosphere-controlled chamber on the SOKUDO DUO track. As an initial step for expanding the DSA process window, fingerprint patterns were used for various atmospheric conditions during DSA self-assembly annealing. In this study, we will demonstrate an improved DSA process window, and then we will discuss the mechanism for this atmospheric effect.
Orientation control of silicon containing block-co-polymer with resolution beyond 10nm
Yasunobu Someya, Ryuta Mizuochi, Hiroyuki Wakayama, et al.
Directed Self-Assembly (DSA) process is one of the attractive processes for creating the very fine pitch pattern. In this technology, block-co-polymer is the key material to achieve a fine patterning. Many reports are published with Polystyrene-b-Polymethylmethacrylate (PS-b-PMMA) for DSA applications. But it is difficult to achieve the resolution below 10 nm with PS-b-PMMA because of its low chi value. Etching transfer of PS-b-PMMA is also the key issue due to the low etching selectivity between PS and PMMA during dry etching process. In this report, block-co-polymers that include a Si-containing monomer and an organic monomer were synthesized by living anionic polymerization to supply a high resolution and a high etching contrast. These polymers with a low polydispersity demonstrated lamella morphology that can be oriented by thermal annealing with a neutral surface treatment. The effects of underlayer and top-coat materials were investigated to control the block-co-polymer orientation. These block-co-polymers also achieve a high dry etching contrast.
Development of mass production technology for block copolymer lithographic materials
Toshiyuki Himi, Ryota Matsuki, Terumasa Kosaka, et al.
We have successfully synthesized various and over wide range molecular weight block copolymers (BCPs): these are polystyrene(PS)-polymethylmethacrylate(PMMA) as general components and poly(4-trimethylsilylstyrene)(PTMSS)- poly(4-hydroxystyrene)(PHS) system as very strong segregated components (high chi) and multiblock type of those copolymers which form the microphase-separated structure pattern using living anionic polymerizing method by which the size of polymer can be precisely controlled. In addition, we were able to observe alternating lamellar and cylinder structures which were formed by our various BCPs using small angle X-ray scattering (SAXS). Moreover, we have successfully developed new apparatus for high volume manufacturing including our original technologies such as purification of monomer, improvement of wetted surface, and mechanical technology for high vacuum. And we have successfully synthesized all the BCPs with narrow molecular weight distribution (PDI <1.1) with large-scale apparatus.
Poster Session: Underlayer Materials
icon_mobile_dropdown
Study on thick film spin-on carbon hardmask
Taeho Kim, Youngmin Kim, Sunmin Hwang, et al.
A thick spin-on carbon hardmask (SOH) material is designed to overcome inherent problems of amorphous deposited carbon layer (ACL) and thick photoresist. For ACL in use of semiconductor production process, especially when film thickness from sub-micrometer up to few micrometers is required, not only its inherent low transparency at long wavelength light often causes alignment problems with under layers, but also considerable variation of film thickness within a wafer can also cause patterning problems. To avoid these issues, a thick SOH is designed with monomers of high transparency and good solubility at the same time. In comparison with photoresist, the SOH has good etch resistance and high thermal stability, and it provides wide process window of decreased film thickness and increased thermal budget up to 400°C after processes such as high temperature deposition of SiON. In order to achieve high thickness along with uniform film, many solvent factors was considered such as solubility parameter, surface tension, vapor pressure, and others. By optimizing many solvent factors, we were able to develop a product with a good coating performance
Study of flowability effect on self-planarization performance at SOC materials
Huichan Yun, Jinhyung Kim, Youjung Park, et al.
For multilayer process, importance of carbon-based spin-on hardmask material that replaces amorphous carbon layer (ACL) is ever increasing. Carbon-based spin-on hardmask is an organic polymer with high carbon content formulated in organic solvents for spin-coating application that is cured through baking. In comparison to CVD process for ACL, carbon-based spin-on hardmask material can offer several benefits: lower cost of ownership (CoO) and improved process time, as well as better gap-fill and planarization performances. Thus carbon-based spin-on hardmask material of high etch resistance, good gap-fill properties and global planarization performances over various pattern topographies are desired to achieve the fine patterning and high aspect ratio (A/R). In particular, good level of global planarization of spin coated layer over the underlying pattern topographies is important for self-aligned double patterning (SADP) process as it dictates the photolithographic margin. Herein, we report a copolymer carbon-based spin-on hardmask resin formulation that exhibits favorable film shrinkage profile and good etch resistance properties. By combining the favorable characteristics of each resin – one resin with good shrinkage property and the other with excellent etch resistance into the copolymer, it was possible to achieve a carbonbased spin-on hardmask formulation with desirable level of etch resistance and the planarization performances across various underlying substrate pattern topographies.
Novel spin on planarization technology by photo curing SOC (P-SOC)
Takafumi Endo, Rikimaru Sakamoto, Keisuke Hashimoto, et al.
In advanced lithography technology, high planarity SOC (Spin-on-Carbon) materials which can planarize topography substrates are required in order to obtain enough process margin. We developed photo curing SOC (P-SOC) materials which can be cross-link by short wavelength UV light, but not thermal process. The P-SOC can achieve high planarization and good via filling because they have high reflow performance without viscosity increasing by baking process and almost no film shrinkage during the baking and photo curing process. The novel P-SOC materials are suitable for very fine pattern manufacturing process as N5 generation which is needed planarization technology.
Development of high heat resistant polyphenols applied to the spin-on carbon hardmask
Tomoaki Takigawa, Junya Horiuchi, Naoya Uchiyama, et al.
In this paper, we report on new polyphenols synthesized by the condensation compounds of phenols and aldehydes. The phenols were 4,4'-biphenol, 2,6-dihydroxynaphthalene and 2,7-dihydroxynaphthalene. The aldehydes were 4- phenylbenzaldehyde and 4,4'-biphenyldicarbaldehyde. And we evaluated basic properties for the Spin-On Carbon Hardmask [1]. We recognized 4,4'-biphenol was showed good applicability to the best raw material of the phenols for polyphenol, and 2,6-dihydroxynaphthalene was showed good applicability to better raw material for polyphenol than 2,7-dihydroxynaphthalene. 4,4'-biphenyldicaraldehyde was better raw material of the aldehydes for polyphenols than 4- phenylbenzaldehyde, in solubility. As for heat resistance, 2,6-dihydroxynaphthalene was the best raw material of the phenols for polyphenols, 2,7-dihydroxynaphthalene was better raw material for polyphenols than 4,4'-biphenol. However, NF7177 synthesized by the condensation of 4,4’-biphenol and 4-phenylbenzaldehyde and NF7A78 synthesized by the condensation of 4,4'-biphenol and 4,4'-biphenyldicarbaldehyde seem to be crosslinking by heating, whence the heat resistance of the polyphenols using 4,4'-biphenol might be improved by optimizing heating condition. These materials are low molecular weight of less than 1000, so we expected having good planarization and gap filling.
Factors analysis on the physical properties of the low-temperature SOC of memory cell characteristics
Doyoung Kwak, Jaeyeol Kim, Jihoon Park, et al.
In recent year, the thermal effect has become a critical issue on the operation of memory cell. As heating time or temperature increases, the performances of memory cells are degraded due to its low thermal stabilities. Therefore, processes working at low temperature are necessary not to hurt the thermal stability. In this paper, we introduced LTSOC (Low Temperature Spin-On Carbon), which is believed to minimize the thermal loads because its cross-linker works at low temperature. Also, it would be important to fulfill the needs for the other properties of SOC like filling ability and etching resistance. So, we verified all these basic characteristics with proper resist and etching processes by getting good final pattern profile. As a result, LT-SOC is suggested for etching barrier without affecting on cell operation of memory devices.
Poster Session: Filtration
icon_mobile_dropdown
Metal reduction at bulk chemical filtration
Toru Umeda, Shusaku Daikoku, Shuichi Tsuzuki, et al.
OK73 thinner and cyclohexanone, both of which were spiked with metals were passed through Nylon 6,6 filter, varying flow rate, which include the conditions of both point-of-use and bulk filtrations. The influent and effluent metal concentrations were measured using ICP-MS for metal removal efficiency of the filtration. As a result, removal efficiency for some metals descended depending on the flow rate, while others maintained. Slower flow rate is recommended to maintain low metal concentration in bulk filtration based on the result. Metals in cyclohexanone were reduced at higher efficiency than in OK73 thinner, agrees with a metal removal model of hydrophilic adsorbent in organic solvent, evidenced in our previous paper. Further, metal reduction on 300 mm φ Si wafer after coating organic solvents with Nylon 6,6 filtration was evidenced with TREX analysis.
Continuous improvements of defectivity rates in immersion photolithography via functionalized membranes in point-of-use photochemical filtration
Lucia D'Urzo, Hareen Bayana, Jelle Vandereyken, et al.
Specific “killer-defects”, such as micro-line-bridges are one of the key challenges in photolithography’s advanced applications, such as multi-pattern. These defects generate from several sources and are very difficult to eliminate. Pointof-use filtration (POU) plays a crucial role on the mitigation, or elimination, of such defects. Previous studies have demonstrated how the contribution of POU filtration could not be studied independently from photoresists design and track hardware settings. Specifically, we investigated how an effective combination of optimized photoresist, filtration rate, filtration pressure, membrane and device cleaning, and single and multilayer filter membranes at optimized pore size could modulate the occurrence of such defects [1, 2, 3 and 4]. However, the ultimate desired behavior for POU filtration is the selective retention of defect precursor molecules contained in commercially available photoresist. This optimal behavior can be achieved via customized membrane functionalization. Membrane functionalization provides additional non-sieving interactions which combined with efficient size exclusion can selectively capture certain defect precursors. The goal of this study is to provide a comprehensive assessment of membrane functionalization applied on an asymmetric ultra-high molecular weight polyethylene (UPE) membrane at different pore size. Defectivity transferred in a 45 nm line 55 nm space (45L/55S) pattern, created through 193 nm immersion (193i) lithography with a positive tone chemically amplified resist (PT-CAR), has been evaluated on organic under-layer coated wafers. Lithography performance, such as critical dimensions (CD), line width roughness (LWR) and focus energy matrix (FEM) is also assessed.
Advanced lithographic filtration and contamination control for 14nm node and beyond semiconductor processes
Rao Varanasi, Michael Mesawich, Patrick Connor, et al.
Two versions of a specific 2nm rated filter containing filtration medium and all other components produced from high density polyethylene (HDPE), one subjected to standard cleaning, the other to specialized ultra-cleaning, were evaluated in terms of their cleanliness characteristics, and also defectivity of wafers processed with photoresist filtered through each. With respect to inherent cleanliness, the ultraclean version exhibited a 70% reduction in total metal extractables and 90% reduction in organics extractables compared to the standard clean version. In terms of particulate cleanliness, the ultraclean version achieved stability of effluent particles 30nm and larger in about half the time required by the standard clean version, also exhibiting effluent levels at stability almost 90% lower. In evaluating defectivity of blanket wafers processed with photoresist filtered through either version, initial defect density while using the ultraclean version was about half that observed when the standard clean version was in service, with defectivity also falling more rapidly during subsequent usage of the ultraclean version compared to the standard clean version. Similar behavior was observed for patterned wafers, where the enhanced defect reduction was primarily of bridging defects. The filter evaluation and actual process-oriented results demonstrate the extreme value in using filtration designed possessing the optimal intrinsic characteristics, but with further improvements possible through enhanced cleaning processes
Analytical techniques for mechanistic characterization of EUV photoresists
Steven Grzeskowiak, Amrit Narasimhan, Michael Murphy, et al.
Extreme ultraviolet (EUV, ~13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.