Proceedings Volume 0633

Optical Microlithography V

Harry L. Stover
cover
Proceedings Volume 0633

Optical Microlithography V

Harry L. Stover
View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 20 August 1986
Contents: 1 Sessions, 40 Papers, 0 Presentations
Conference: 1986 Microlithography Conferences 1986
Volume Number: 0633

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • All Papers
All Papers
icon_mobile_dropdown
A Glimpse Into The Future Of Optical Lithography
Harry L. Stover
The conference papers relating to resolution and overlay of exploratory but production-worthy exposure/alignment systems indicate performance far exceeding current IC manufacturing demands, and hence give a glimpse of future production systems and design rules. A summary table is presented.
Excimer Laser-Based Lithography: A Deep Ultraviolet Wafer Stepper
Victor Pol, James H. Bennewitz, Gary C. Escher, et al.
A deep UV projection system has been developed by modifying a commercial step and repeat exposure tool to operate at 248nm with an all-quartz lens and a KrF excimer laser. The lens is a 5X reduction lens with a minimum field size of 14.5 mm and a numerical aperture which is variable from 0.20 to 0.38. This produces a practical resolution of 0.5μm over the 14.5 mm field, with 0.4μm resolution achievable in a lab situation. Furthermore, by reducing the numerical aperture it is possible to print 0.8Am lines and spaces over a field larger than 14.5 mm with depth of focus greater than ±2μm. The data presented are results of extensive resolution studies as well as applications to real submicron devices. Some of the advantages and limitations of laser-based lithography are discussed, including possible directions for new laser development.
New Projection Lenses For Optical Stepper
Kazuo Ushida, Masaomi Kameyama, Satoru Anzai
Optical step and repeat systems are now considered to be the major exposure equipment for the production of VLSI devices. Because of their high resolution and overlay accuracy, they are being used extensively to produce high density MOS memories, but are expected to be used increasingly in the production of custom LSI and discrete IC's, as well as highly integrated future MOS memories with submicron design rules. Projection lenses for optical steppers are required to have a wide exposure field and high resolution. This, however, is a design dichotomy which is difficult to achieve simultaneously. One possibility is to have a wide exposure field lens, but with a moderate resolution, and another is to have a high resolution lens, but with a narrow exposure field. Recently several projection lenses have been developed at Nikon. One has an extremely wide exposure field in order to achieve a high throughput, and another has an extremely high resolution, but a smaller exposure field. In this paper, the performance and special features of these lenses will be discussed. Special emphasis will be placed on the performance of the high resolution lens for submicron lithography, and SEM resist profiles produced by these lenses will be shown.
Practical I-Line Lithography
Mike Tipton, Vic Marriott, Gene Fuller
The continual push of the semiconductor industry to achieve higher density devices has placed tremendous demands on lithography. Several techniques have been used to achieve submicron feature sizes including multilayer resists, larger numerical aperture stepper lenses, and e-beam and X-ray exposure tools. Each of these approaches has been shown to have unique problems that limit its application in production processing. With the recent availability of large image field wafer steppers utilizing the 365 nanometer mercury emission line, optical lithography may now routinely achieve features on the order of 0.8 micron and below. This paper presents data on the practical application of a 10X i-line wafer stepper to VLSI and ULSI device fabrication. Performance data on a production worthy 0.8 micron single layer resist process are discussed. With i-line lithography important differences in the response of conventional photoresists have been observed. Because of the increased absorbance of the resist at i-line as compared to g-line, attenuation of the incident light reduces adverse reflection effects to give excellent linewidth control over topography even on highly reflective surfaces. The differences in resist absorption for g-line and i-line and the effects of absorption of i-line radiation on resist profiles is shown for several commercially available resists. Use of a multilayer resist system has allowed for the extension of the resolution to 0.5 micron. In contrast to previous i-line steppers useful primarily in laboratory and prototype operations, recent advances by equipment suppliers coupled with conventional or multilayer resist processing now provide a production worthy submicron lithography system for high volume semiconductor manufacturing.
A New Lens For Submicron Lithography And Its Consequences For Wafer Stepper Design
J. Biesterbos, A. Bouwer, G. V. Engelen, et al.
The imaging properties of a prototype from the new generation of i-line, high numerical aperture projection lenses have been investigated. The production resolution of this submicron lens is 0.7 micron at a depth of focus of +/- 1.5 microns. The diameter of the image field is 14.1 mm. The curvature of the focal plane is about 1.5 microns between a position at the optical axis and a position at the edge of the image field. The lens has been incorporated in a wafer stepper. Improvements which have been carried out in order to meet the submicron requirements from the side of the stepper are the accuracy of the wafer positioning (3 sigma = 50 nm) and of reticle-to-wafer alignment ( 3 sigma = 60 nm ). Systems for correcting for the influence of variations of the atmospheric pressure have been incorporated.
Where Is The Lost Resolution?
Burn J. Lin
In addition to raising the numerical aperture of the imaging lens or reducing the exposing wavelength to improve resolution in optical lithography, a third direction is pursued, namely restoration of the potential resolution capability that is lost due to incorrect practice. Unlike the former two methods, a gain in depth of focus can accompany improvement in resolution. In this paper, elimination of vibration between the mask and the wafer, resist contrast improvement, and multilayer resist systems are cited as possible means for improvement. Simulation study are given on the effects of vibration and resist contrast improvement to quantitatively assess the improvements.
Excimer Laser Projection Patterning With And Without Resists: Submicrometer Etching Of Diamond And Diamond-Like Carbon Resist
M. Rothschild, C. Arnonet, D. J. Ehrlich
Recently reported experiments in which the deep-UV (193-nm) and VUV (157-nm) output of an excimer laser has been applied to submicrometer patterning are reviewed. New methods have been designed to take advantage of the short-wavelength, high-peak-intensity pulsed radiation from these sources. The examples of patterning of crystalline diamond and diamond-like carbon films are discussed. Lines and spaces of 0.13 μm have been obtained using diamond-like hard carbon films as self-developing resists.
Direct-Referencing Automatic Two-Points Reticle-To-Wafer Alignment Using A Projection Column Servo System
M. A. v. d. Brink, H. F. D. Linders, S. Wittekoek
An improved version of the Philips/ASM-L phase grating alignment system is described. The new system can be used in combination with standard, single-sided telecentric projection lenses, by using a spatial filter external to the lens. In addition, the alignment-detection has been extended to a double system which enables direct control of magnification and die rotation. Long-term frame instability and changes in environmental conditions such as temperature and barometric pressure have no influence on the overlay accuracy. The new external spatial filtering technique gives the same proven benefits as the earlier spatial filtering which was built internal to the lenses. A comparison of this alignment scheme with other methods is given, showing that this new scheme is superior in terms of dependence on external influences.
Relative Alignment By Direct Wafer Detection Utilizing Rocking Illumination Of Ar Ion Laser
Yoshitada Oshida, Masataka Shiba, Atsuhiro Yoshizaki
A new alignment system for micron and submicron lithography has been developed by Hitachi. Ar ion laser and charge coupled devices (CCD) are used in this system to detect the relative position of wafer and reticle. Each wafer mark on the scribe lines is directly detected through the reduction lens and kept in position till exposure is completed. Direct wafer detection has been realized by using a chrome surface on the reticle as a reflector for the detection optics arranged under the reticle. This construction permits TTL on axis alignment to be performed without movement of the detection optics and does not obstruct the exposure light. As Ar ion laser light can transmit through the multi-layer resist, the mark under the resist can be detected. Laser rocking illumination of the wafer mark and the storage type light detector (CCD) allow highly accurate detection of grainy wafers. By chromatic aberration of the reduction lens for Ar ion laser light, the image of the wafer mark is presented below the reticle after reflection. This phenomena has prevented the detection of the relative position between the wafer and reticle using single detection optics. However this problem has been eliminated by using a hyperbolic grating on reticle. The hyperbolic grating illuminated by the Ar ion laser beam projects a line shape image on the image plane of the wafer mark, and the position of the line represents the position of the reticle. The alignment system achieves overlay accuracy (3σ) of less than 0.2 μm for process wafers and stable offset (for five days) of less than 0.05 μm. The alignment time is about 0.3 seconds.
Alignment Wavelength Optimization For Wafer Stepper Microscope
Sungmuk Lee, Shi-kay Yao, Michele Nuhn
In modern photolithography, alignment to targets which are burried under layers of highly absorptive coatings at the actinic wavelength, is a severe problem. A novel optical technique has been developed at TRE allowing the use of an arbitrary optical wavelength for target alignment. Significant improvement in target image quality and in system alignment accuracy has been achieved when the alignment wavelength is optimized for minimum process layer absorption and stepper lens aberration. In this paper, the optical approach which corrects for chromatic aberration and astigmatism of the stepper lens will be described. Technical data with a number of commonly used, highly absorptive materials will be presented. Better than 0.25 micron three sigma alignment in realistic absorptive process layers has been achieved with this technique.
Fractured Alignment Mark Technology For Wafer Steppers
G. A. Hungerford, D. Rector, D. Sandford, et al.
The current generation of wafer steppers are routinely called upon to perform automatic site by site alignment to accuracies of ±0.25 microns, 3 sigma. Virtually all wafer steppers currently available use the same general strategy for site by site automatic alignment: a previously printed alignment mark present on the wafer is aligned to a corresponding geometry on the reticle by means of a detection system employing light in the UV or visible range. A large contribution to misalignment on steppers employing optical contrast for alignment mark detection often arises when alignment marks adequate for one process are used for another. Process dependent variables such as step height, substrate reflectivity, and photoresist thickness introduce inconsistencies in target polarity and target appearance to the detection system, especially for systems incorporating narrow bandwidth alignment illumination. Frequently radial related offsets are attributed to resist flow patterns over the alignment marks which are usually orthogonally or diagonally oriented.
A Simple Method To Determine Alignment Tolerance In Photolithography Process
Wei Wu, Sunny Cheng
For a device manufacturer, lithography alignment tolerance vs die yield is of major concern. Often device designers will be working off a set of design rules, but the practical are not able to provide such information ahead of time. It is often necessary to obtain it through correlation of alignment keys and end product yields. How accurate these scribe grid keys correlate with the actual circuit yield can make this issue confusing. A new concept of generating this information from die yields has been evaluated with quite promising results.
Electrical Methods For Precision Stepper Column Optimization
Ludwik J. Zych, Gianpaolo Spadini, Talat F. Hasan, et al.
This paper presents a quick and accurate method for determining optical column misalignment and degree of telecentricity of a precision wafer stepper using computerized electrical techniques. The trend toward increasingly difficult device design rules has driven the present demand for ultimate stepper performance, which requires the optimization of column alignment and telecentricity, along with the routine monitoring of standard parameters including translation, rotation, magnification, trapezoid and distortion. Device designs using a full-lens field and requiring complex processing, involving large topographical steps, are especially demanding.
Characterization And Setup Techniques For A 5X Stepper
T. A. Brunner, S. M. Stuber
A direct means of measuring the aerial image profile of a microlithographic stepper system is described. The position of the peak gives the relative overlay error and the shape of the curve relates to the resolution. Reduction and focus shifts due to barometric pressure change are reported. Vibration between the aerial image and the wafer was measured, as well as the effects of vibration on resist imagery. We also describe applications of such techniques for fast and accurate setup of stepper overlay offset and focus.
Mixing And Matching Of Wafer Steppers And Wafer Scanners For Cost-Effective, High-Volume Device Production
Harry Sewell, Myles Gansfried
The mixing and matching of Step-and-Repeat Alignment systems and wafer scanners is a viable method of providing the high levels of overlay accuracy and resolution that are now required in the manufacturing of the most advanced devices such as 1 MBIT DRAMs. At the same time, significant reduction of the production costs, especially on large wafers, are realized. The utilization of scanner systems allows very high wafer throughput, in excess of 100 wafers/hour. The utilization of Step-and-Repeat Alignment systems allows the reduction of overlay errors to less than 0.3 micron (98%). The mixing of equipment has been enhanced by the introduction of Universal Mix-and-Match Prealigners on the Micralign scanner systems. These prealigners are capable of simulating the performance of any Step-and-Repeat Alignment system prealigner by the use of wafer edge mapping techniques and special prealigner simulation algorithms in software. Using these prealigners, device arrays and alignment keys are accurately positioned on the wafers. This allows the implementation of automatic alignment systems and the maintenance of high wafer throughputs on the scanner systems. New developments in metrology equipment now allow the use of automated overlay measurement systems for the mapping of overlay errors on wafers. The extraction of equipment overlay correction factors is now possible. The implementation of such systems will allow ≤ 0.4-micron overlay processes, such as 1 MBIT DRAMs, to be run routinely on mixed equipment.
Impact Of 1X Reticle Defects For Submicron Production Lithography
K. L. Zollinger, C. P. O'Mahony, M. S. Chang
A new test reticle with programmed defects has been designed to evaluate the impact of 1X reticle defects for micron and submicron design rules. This reticle has been used to examine the performance of the present generation of automatic reticle inspection systems, as well as to investigate the printability of defects using a 1 um 1X lens. Printability of contact holes, shaped contacts, and pinholes have been compared. Conclusions relevant to the manufacture of 1X reticles for micron and submicron device work are presented here.
Die-To-Database Inspection - An Effective Method Of Detecting And Locating Defects On Reticles
Eileen Jozefov, Steve Follis, Wayne Ruch
As the drive towards increased production of VLSI type devices continues, integrated circuit fabrication has become increasingly dependent on stepper technology to meet the demands for denser geometries, smaller critical dimensions, and tighter registration tolerances. This technology is based on repetitively exposing the wafers in a field-by-field manner using a reticle which is either a single or multi-die IC pattern. This technology differs from that of the 1X projection aligner in which there is a one-to-one correspondence between the mask and the imaged wafer.
Design-Data Based Inspection Of Photomasks And Reticles
Seiichi Yabumoto, Tetsuyuki Arai, Yoshihiko Fujimori, et al.
A New automatic design-based inspection system called Nikon RMX has been developed. With its unique algorithm of comparing photomasks and reticles with their design data, good defect detection sensitivity and low false-defect detection are achieved. Firstly, the design data are converted into Nikon Format Data and stored in a magnetic disc device. At this time, more than one files can be merged together. A magnified image of the sample on the X-Y stage is converted to a bit-pattern image. Synchronized to the image of sample, Nikon Format Data are transferred from the disc, and a bit-pattern image of design data is generated on the frame memory. The window moves pixel by pixel in both design and sample bit-patterns. Each minute character (corner, step & isolated pattern) at the same window position is extracted by using so called Template-matching method, and compared. If the characters of the two bit-patterns are different, it means that the sample has a defect. Many kinds of templates are provided for defects on pattern edge (of 0°, 45°, 90° & any angle), defects at corner and isolated defects. Another unique point of this system is automatic resizing function (enlarging or shrinking of pattern). With this function, design-image can be matched to the sample-image precisely. All of defect analysis is performed by hardware-logic, so very fast inspection is possible.
Mask Specs And Equipment Specs - Disparity And Reconciliation
Paul A. Warkentin, James A. Schoeffel, Ric Diola, et al.
The typical pattern quality specifications for large lithography equipment (such as raster scan e-beam systems) and the industry standard pattern quality specifications for masks and reticles have grown to be quite different. The equipment manufacturers speak one language, the mask users speak another, and the mask maker must understand both. However, the relationship between these two sets of specifications is not obvious or typically available to the mask maker when purchasing such equipment, making it difficult to anticipate the mask and reticle quality it will deliver. The specific definitions and measurement procedures behind the Position Accuracy, Overlay Accuracy, Orthogonality, Scan Linearity and Linewidth Uniformity specifications for electron beam systems are examined in detail and their relationship to the Composite Overlay Errors and Composite Critical Dimension Variations found on masks and reticles is explored.
Exposing Patterns With A Scanning Laser System
Shi-kay Yao, Boris Meshman
High quality reticles are made optically using an electro-optical system with sixteen scanning laser beams. Recent advances provide pattern accuracy of ± 0.125 micron. Edge acuity of 0.15 micron three sigma is now routine. Supported by high speed electronics with 160 MHz data rate, it is one of the fastest pattern generators available to date. Since it works in a raster scan mode, it can handle extremely high flash count patterns with ease. With preprocessed data tape, checkerboard type of pattern in 10 micron by 10 micron squares has been printed at a rate of 40,000 square per second (equivalent to 144 million flashes per hour). Another major advantage of this system comes from the use of conventional photo-resists. With a typical environmental chamber, one can fabricate high quality, low pin hole density patterns at high writing speed. Detailed system characteristics as well as pattern generation capabilities will be reported in this paper.
Removal Of Mask Registration Errors Using Full-Field Mask Aligners
R. M. Young, D. P. Mathur, D. H. Berry
One of the key components of a full-field 150-mm exposure system is a properly specified and fabricated mask. The Micralign Model 661 HT uses a 71/4-inch diameter mask substrate with a 150-mm patterned field. While low distortion, electron beam generated full-field masks for 150-mm wafers will become more common in the future, it is expected that many of those masks fabricated in the near term will be optically stepped. Present 71/4-inch fabrication techniques can meet the critical dimension and defect density requirements consistent with the specified lithographic capabilities of the Micralign system. Pattern registration is the primary requirement affecting the specification of optically stepped masks. Die placement results in a random error, a systematic error (runout), and an orthogonality error. This distortion is commonly measured for each mask, and the relative magnitude is part of the specification. The burden on optically stepped masks can be minimized by relaxing this registration specification based on the Micralign's ability to correct for isotropic and anisotropic runout, as well as for orthogonality errors. A description of mask registration errors, how they are measured and subsequently compensated for by the offset and magnification capabilities of the Micralign exposure system, and the experiment designed to quantify those capabilities, is presented. Design characteristics of the Micralign subsystems providing error compensation are outlined. Experimental techniques and results demonstrating the correction of actual mask registration errors are described and data presented.
Clear Defect Photolithographic Mask Repair In Open Air Using Laser-Induced Pyrolytic Decomposition
Zbigniew Drozdowicz, Joel Bornstein, John O'Connor
We are describing a visible wavelength laser driven pyrolytic decomposition of metal carbonyls. Micrometer resolution deposits generated by this process are used to repair clear defects (missing chrome) on photolithographic masks. The process takes place in an open air environment with partial atmosphere control achieved by supply gas flow and venting arrangements. Writing speeds of 5 micrometer per second are achieved with room temperature operation.
Controlling Etch Profiles: The Effect Of Controlling Ion Transport
Frank C. See
An additional degree of profile control is available when careful control over the parameter Vdc/p is exercised. This parameter may be used alone or in conjunction with photomask erosion, polymer forming chemistries, or other strategies used in varying vertical etch profiles. The effect is demonstrated by utilizing SF6 to etch oxide in an RIE plasma reactor.
Aluminum Taper Etching Using Resist/a-Si/Al Structure
Hiroshi Hoga, Masayoshi Kanaya, Sadao Suganuma
Aluminum taper etching has been developed to improve dielectric step coverage. The seventy degree tapered aluminum pattern is obtained on a flat topography by erosion of a sloped resist mask and high etching anisotropy in RIE. To improve process stability and controllability, resist/a-Si(amorphous silicon)/Al structure was adopted. Amorphous silicon decreases the reflectivity to reduce pattern degradation and has lower etching rate to serve as a secondary etching mask. A simple etching model was proposed to evaluate taper etching controllability and limitation. SEM observations and TEG evaluations show a good dielectric step coverage on tapered aluminum pattern.
Overview Of Dry-Etch Techniques
John M. Salzer
With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.
Planarization Profile Measurement Using A Confocal Scanning Laser Microscope
Ian R. Smith, Simon D. Bennett, James T. Lindow, et al.
The use of planarizing layers to improve the performance of photolithography for micron and submicron devices is being actively explored by a number of semiconductor companies. The usefulness of the procedure depends critically upon the degree to which residual surface undulations can be controlled. This paper describes how a confocal scanning optical microscope may be used to measure surface profiles of planarizing layers and discusses the factors which influence the accuracy of measurement. Experimental measurements, using a SiScan-I system, of resist and P.S.G. planarizing layers are presented, demonstrating a sensitivity to surface height changes of 50 nm. The technique may be improved upon by careful design of the microscope and selection of the imaging wavelength. These factors are discussed.
Renewed Energy For The Perkin-Elmer 140, 240, 340 Projection Printers
John Lent
It is a generally accepted fact that the ability to extend the life of any expensive fab equipment with a reasonable investment which provides quick pay back is a desirable goal. Recently a modification to Perkin-Elmer projection printers has been announced which should fall into the above category. This modification consists of a new illumination source. Unlike previous attempts which primarily dealt with lamp issues, this unit replaces the entire HPC, to include; lamp, lamp supply, blower, forced air cooling and major lamp electronics. This paper discusses the modifications necessary to the 341, an in depth performance comparison of the model 341 equipped with the Advanced Semiconductor Product Illuminator to the same instrument prior to modification, and production results using the system. The first topic of the paper will look at the changes required to the 340 when installing the ASP system. We will look briefly at the optical layout of the system and how it differs from the standard HPC. We will then address the optical, mechanical, electrical, pneumatic changes, and the complexity of the installation. The second topic of the paper will look at a comparison of the original instrument specifications to the modified system. This look will include parameters such as CD control, uniformity of illumination, vibration analysis of each system, depth of focus, spectral output of each system from the standpoint of both spectral distribution and total energy output, exposure and other process issues affected by the new system. We will conclude with production results such as uptime, CD control as compared to standard systems, long term throughput increase achieved through a number of months of system operation, maintenance complexity and overall Fab acceptance.
High Resolution Linewidth Control In Optical Microlithography
Catherine M. Ngo
Stringent process and equipment control becomes significant in high resolution submicrometer optical lithography. The system resolution, linewidth control, step coverage, and minimum feature size are not only dependent on the resist process but also dependent on such factors as equipment stability, system calibration, mask design and fabrication, and alignment marks design. When contact mask aligners (contact printers) or projection printers (5x or 10x wafer steppers) are used, attention to equipment control and utilization is essential to achieve submicrometer patterning and allow the process to achieve high yield at these small critical dimensions.
An Analysis Of The Relevance To Linewidth Control Of Various Aerial Image Characteristics
Graeme D. Maxwell, Rene Vervoordeldonk
The results of a simulation study into various aerial image characteristics are presented, and these characteristics are shown to relate to features of the simulated developed resist profile. The results were obtained using the simulation program SPESA, and a related program VARYIM: - A novel method of presenting image characteristics over the full line/spacewidth domain will be introduced. - Relative intensity at the mask edge and lateral position of an intensity threshold are shown to be good indicators for developed feature size. - Intensity gradient at the mask edge is an indicator for lattitude with respect to dose, development and focus variations. - Image contrast and the maximum and minimum image intensities are not very useful as indicators either for developed feature size or process latitude.
Detecting Straight Edge In General Partially Coherent Cases
Jian Yang, Shudong Wu, Zhijiang Wang
On the basis of the partially coherent theory of light, an edge-threshold equation was deduced. The main point is an introduced new parameter, which shows the effect of the coherence and aberration of measuring system to edge detection. Curves of the new parameter vs coherence parameter of the microscope which is free of aberration are displayed in the paper.
A Concept For A High Resolution Optical Lithographic System For Producing One-Half Micron Linewidths
George O. Reynolds
This paper describes a concept for developing an optical printer having a one-half micron linewidth capability to meet the pro-jected needs of future Integrated Circuit (IC) production facilities. Our approach for meeting this objective is to combine the appro-priate features of the current 1:1 reflective optical printers with the stepping characteristic of the 10:1 refractive optical systems. The proposed, very deep, UV step and repeat system has the potential of reaching a one-half micron linewidth production goal entirely with optical technology. The key subsystem elements necessary to achieve these goals are discussed. These subsystems include a reflective optical system, a 10:1 stepper configuration having a linearity limit of 0.5 microns and an FOV of 15 mm, a deep UV laser source, photoresists having the required sensitivity, an alignment capability of 500 Å , a focal sensor having a 500 Å tolerance and the associated mechanical, electronic and environmental controls compatible with a produc-tion throughput of 60-four inch wafers/hour.
Two-Dimensional Optical Proximity Effects
Philip D. Flanner III, Shankar Subramanian, Andrew R. Neureuther
In projection printing the proximity effects between adjacent two-dimensional features such as concentric elbows can be the limiting factor in designing layout rules. An aerial image simulation code based on the imaging algorithms in SAMPLE has been developed and used to investigate these proximity effects. The program accepts arbitrary polygonal shapes constructed of rectangular and triangular patches. The image is calculated using Hopkins transmission cross coefficient formulation and uses rapid integral evaluation techniques. The cpu time for this FORTRAN F77 program depends on the size of the mask and the partial coherence factor as 0.25[(1 + σ) 2A(NA/λ)2]2 seconds on a DEC VAX 11/780 using double precision, where A is the mask area, σ the coherence factor, NA the numerical aperture and λ the wavelength. The output intensity can be displayed with graphics tools such as UNIGRAFIX or cross-sectioned for input to SAMPLE development simulation along critical paths. Proximity effects in critical regions between features such as nested elbows, contacts near contacts and lines, and lines near large pads are studied. For small contacts studies show that a contact hole can be placed as close as 0.5λ/NA microns to another contact hole. For nested elbows the critical effect is the variation in intensity in the straight regions just adjacent to the corner. This undesirable variation is primarily due to the intrafeature intensity interactions and is not greatly influenced by the proximity of another nested elbow. For general feature shapes the proximity effects are reduced by increasing the partial coherence factor to 0.5 or higher but at the cost of reducing contrast and peak intensity. For contact masks a partial coherence of 0.3 is recommended for higher edge slope and peak intensities. Proximity effects of small defects are also illustrated.
Image Intensity Distribution Of Double Spaced Contact Holes
Nikhil N. Kundu, Sanjay Goel, Shri N. Gupta, et al.
As the feature size is reduced, two dimensional proximity printing of contact openings is one of the simpler cases which can be computed using Fresnel diffraction theory. This is applicable only if the proximity gap is larger than the aperture size. Fresnel approximation can also be applied to the case of more than one apertures in close proximity. In this paper, we discuss the case of three apertures separated by equal distances. The conditions under which Fresnel approximation is valid for this case have been discussed. The aerial image for such a case has been computed. The variation of shape of the aerial image has been calculated in the form of contours of constant intensity plots. The constant intensity plot can correspond to the resist exposure threshold and can thus represent the shape that will be obtained in the resist. In order to optimize the image shape, a figure of merit has been proposed. This figure of merit (F) is based on areas of object and image. It represents relative image area that lies within the aperture reduced by the area lying outside the aperture opening. The image quality is thus ensured with a value of F close to unity. F has been computed using a combination of a large number of variables. A method of optimizing F has been discussed along with some computed results.
Simulations Of Optical Lithography Test Structures: Murray Daggers And Contact Arrays
Andrew Muray, Doug Thompson
This paper presents simulations of lithography test structures, Murray daggers and contact arrays, and includes comparisons to experiment. Murray daggers are triangular structures whose height is determined by lithography system resolution and process conditions. The tip of a dagger can be seen optically (with a 50 or 100X magnification), making it simple to use. Contact arrays are measured by locating the smallest size contact where the resist has cleared. Aerial image and development simulation programs (SAMPLE) are used to find clearpoint regions in the structure for given lithographic conditions. The location of the clearpoint within the structure is then mapped out as a function of stepper exposure dose and defocus value. Correlations of dagger and contact array readings to develop check CD's are also derived. From the structures investigated, it is found that contact arrays with varying dimensions are sensitive to defocus at low doses (1.2 times the dose needed to clear a large area in the resist, 1.2E0), and that line daggers are the most sensitive at high doses (3.6E0). Sensitivity to exposure dose depends on defocus for all the test structures, but the space dagger appears to be the most reliable indicator. Correlations of printed spacewidth to test structure readings reveal sensitivities on the order of .1 micron/ 1 increment change observed in readings. Experimental dagger measurements suggeit that the simulations are correct.
Enhanced Performance Of Optical Lithography Using The DESIRE System
Fedor Coopmans, Bruno Roland
We report on the impact of the use of the DESIRE system in optical lithography. Results obtained with first and second generation resists are compared with the performance of the DESIRE system. This comparative study was done for scanning projection, lx stepper and reduction stepper equipment. The in-process compatibility of the new dry developed resist system is described. Thermal stability up to 300°C and good resistance to dry etching are reported. Also the impact of the addition of a color dye to the DESIRE-resin on the line-width control is demonstrated.
Elimination Of Mask-Induced Defects With Vote-Taking Lithography
Chong-Cheng Fu, David H. Dameron, Anthony McCarthy
The problem of ensuring adequately low density of defects in lithographic masks is becoming increasingly serious as circuit patterns become denser and more extensive. This paper discusses a radically alternative strategy to eliminate the effect of random defects on reticles. In this method, a number of reticle fields containing nominally identical patterns are aligned and exposed in sequence at the same site, each with an equal fraction of the nominal exposure dose. The optical intensity distribution impinging on the resist is the sum of the aerial images from these exposures. As a result, a random defect unique to a single reticle field affects only a minor part of the total exposure. The effect of this exposure deviation can then be minimized with an adequate resist contrast and a properly adjusted exposure dose. With the lithographic tools and resist process technology presently available, gross reticle defects can generally be reduced to minor distortions in the resultant features. A series of experiments have been performed with Shipley Microposit 1470 photoresist exposed with an Ultratech 900 1x wafer stepper, and demonstrated the feasibility of this technique. The effects of misalignment among fields, resist contrast, exposure dose, and defect size and type have been studied in particular. A novel etching process that permits the electrical detection of defects due to photolithography alone is being used to evaluate the effectiveness of this vote-taking scheme in VLSI patterning, and has demonstrated its capability of eliminating mask-induced defects, and no noticeable loss of lithographic yield when defect-free masks are used.
Liquid Particle Counting Of Photoresists And Auxiliaries For Defect Control
Jeffrey K. Hecht, Edward J. Reardon, Douglas A. Thompson
Laser light scattering, a sensitive and versatile method, has been used to detect and classify particles in a variety of liquids. Semiconductor manufacturers have used liquid particle counting (LPC) to monitor deionized water streams and incoming chemicals. Recently, attempts have been made to extend this technology to photoresists and auxiliaries with the goal of setting specifications acceptable to both vendors and users. This paper discusses the efforts of a photoresist manufacturer to incorporate LPC into quality control and assurance. Examples of applications of LPC to other issues of photoresist purity are also provided.
Scanning Laser Technology Applied to High Speed Reticle Writing
Paul A. Warkentin, James A. Schoeffel
For the past several years, the method for fabricating the majority of the high quality reticles required to manufacture today's complex circuits has been a-beam lithography. Most of the e-beam lithography systems used for this task are based on the raster scan technology developed at AT&T Bell Labs about twenty years ago to make 1X masks. The designs of these systems have evolved over time and their capabilities have been expanded to include reticle writing, but their basic architecture has remained unchanged which imposes significant limitations on their throughput when writing complex reticles. This paper will describe a high precision, high performance optical lithography system based on scanning laser technology and optimized for the high speed writing of 5X and 10X reticles. Examples of its writing quality will be shown.
A 10:1 Photomask Reduction Camera
Katalin Voros, Pantas Sutardja, William G. Oldham
To make high quality reticles for 1:1 projection steppers a new 10:1 reduction camera had been designed and built. Components of the camera, the illuminator and controller are described and operating conditions to print patterns of 0.75 μm lines and spaces are established. Optical and mechanical characterization results are presented.
STARR: Stepper Test Alignment Resolution Reticle For The Lithographic Characterization Of A Sub-Micron Stepper
Robert P. Hardin, Mark P. Gulden
This paper describes the design and implementation of a custom reticle developed as part of a sub-micron stepper evaluation program. The 5X reticle was designed so that all major commercially available steppers could be directly compared. It has a unique arrangement of optical and electrical registration test structures allowing for three levels of processing which provides a true measure of the registration capabilities of a system. The reticle has a number of structures which can be used to evaluate the imaging quality of a stepper system. These include a "Focus Star" structure designed to allow easy evaluation of resolution, focus, and astigmatism, a defect structure to measure the printability of commonly seen reticle defects, and L-bar patterns. The remainder of the reticle is intended for use in analyzing other lithographic problems such as proximity effects, substrate induced reflections, step coverage, and critical dimension control.