Proceedings Volume 0469

Advances in Resist Technology I

cover
Proceedings Volume 0469

Advances in Resist Technology I

View the digital version of this volume at SPIE Digital Libarary.

Volume Details

Date Published: 21 May 1984
Contents: 1 Sessions, 24 Papers, 0 Presentations
Conference: 1984 Microlithography Conferences 1984
Volume Number: 0469

Table of Contents

icon_mobile_dropdown

Table of Contents

All links to SPIE Proceedings will open in the SPIE Digital Library. external link icon
View Session icon_mobile_dropdown
  • All Papers
All Papers
icon_mobile_dropdown
A High Sensitivity Two Layer Resist Process For Use In High Resolution Optical Lithography
M. P.C. Watts
A two layer resist process has been developed with a 7x reduction in pattern transfer exposure time compared to the "conventional" process that uses PMMA as the bottom layer. The reduction in exposure time was achieved by using a high sensitivity resist as the bottom layer. The pattern transfer wavelength is 310 nm, therefore a dye is needed in the top layer to make it highly absorbtive at 310 nm. This paper will describe the selection process for the dye, resist materials, and details of the process development. Examples of resist patterns will include a demonstration of the effect of partial transmission in the top layer on bottom layer patterns. It will be shown that a transmission of < 0.5% is re-quired for artifact free resist Patterns.
Image Formation In The Sublayer Of A Multilayer Resist Structure
D. Meyerhofer, L. K. White
The exposure and development of PMMA sublayers used in multilayer resist processing schemes have been simulated. For thick planarization sublayers (>2 μm) diffraction of the deep-UV radiation produces significant exposure variations across the resist mask apertures. This effect leads to an edge-sharpening phenomena. The Fresnel diffraction approximation is used to calculate exposure variations within the sublayer and a modified SAMPLE program to obtain the sublayer image. Estimates of the wall profiles and dimensional deviations have been obtained for various isolated space feature sizes.
Polysilane Bilayer uv Lithography
Donald C. Hofer, Robert D. Miller, C.Grant Willson
Polysilanes are a class of Si-Si backbone polymers that have been demonstrated to function as high resolution positive resists with excellent uv sensitivity. These materials have a unique photochemistry with high quantum yields and nonlinear bleaching. Polysilanes serve as excellent RIE barriers for bilevel resist applications because a protective layer of SiO2 is formed during exposure to an oxygen plasma. Aliphatic polysilanes have been applied to full wafer mid-uv lithography with 0.75 μm resolution.
An Improved Deep Ultra Violet (DUV) Multilayer Resist Process For High Resolution Lithography
C. H. Ting, K. L. Liauw
An improved DUV multi-layer resist process is developed by using a spun-on anti-reflective coating (ARC) that has been used between the top layer resist and PMMA. By using this structure, significant advantages over the basic structure can be realized such as an elimination of interface mixture, elimination of surface reflection problems, no degradation of PMMA sensitivity, and it also eliminates the resist transmission peak at 250nm so that marginal resists such as AZ2400 and K-820 can be used. By using this improved resist structure and a developer that retains the top layer resist, plasma etching capabilities have been demonstrated on Si02, polysilicon and aluminum. Due to the planarization effect of PMMA and elimination of surface reflection, excellent pattern fidelity can be obtained down to submicron features. This improved bi-layer resist process provides a low cost, reliable method to extend the capability of current generation optical lithographic equipments. This improved process was used for high resolution device fabrication including contact holes and aluminum metallization.
Some Aspects Of Anti-Reflective Coating For Optical Lithography
Yi-Ching Lin, Vic Marriott, Kevin Orvek, et al.
One major limitation in optical lithography is the resist linewidth variation across steps on a highly reflective substrate. This problem can be alleviated by using an anti-reflective (AR) coating on a planarized multilayer resist surface. A spin-on AR coating provides a simple and economical approach for this purpose. However, the curing of the AR coating is a critical process step. An insufficient bake can result in undesirable undercut of the AR coating, while over baking leads to incomplete development. The coated AR thickness, the baking conditions, the exposure dose, and the development process, among others, interact in a complicated manner to impact the patterning accuracy of the resist/AR layers. In this paper, some aspects of the AR coating will be investigated to address the process complexity. Key interactive parameters will be identified, and a guideline to improve the process latitude will be given.
Deep UV Positive Resists For Two-Level Photoresist Processes
E. Reichmanis, G. Smolinsky
Interest has steadily grown during the past few years in materials that are resistant to dry etching techniques that can be used in multilevel resist processes. This is perhaps a result of the greater demands placed on resist technology by increased device complexity and resolution requirements. The most well known multilevel resist methods are the two-level PCM (portable conformable mask, first described by B. J. Lin ) and the BTL trilevel processes. In the latter, the pattern defined in the top level is transfered first to a thin silicon dioxide layer and subsequently to the substrate by dry etching. A two-level photoresist process employing 200-300 nm irradiation of the upper layer followed by transfer of the resultant image into the lower layer by dry etching, combines the attractive features of both deep UV lithography and multilevel resist 3processing. Deep UV lithography affords improved resolution due to decreased diffraction, while multilevel processing2alleviates the problems associated with substrate topography and sur-face reflectivity. An outline of this resist processing scheme is shown in Figure 1. The substrate is coated first with a thick layer of an organic polymer that effectively planarizes the wafer surface. A layer of photoresist is then applied. Deep UV exposure and routine development of the top layer generates the desired pattern which is transferred to the substrate by oxygen RIE. One means of iiproving the resistance of a material to RIE is incorporation of silicon. Taylor and Wolf have reported that the addition of silicon containing compounds to organic polymers improves their resistance to erosion by an oxygen discharge, presumably by the formation of a protective layer of SiO2. Dialkyl siloxane polymers have been Eeported to be usable as negative deep UV resists in the two-level process described above. Anotheg negative, oxygen RIE resistant, deep UV resist has been reported by MacDonald et al. This material, a copolymer of trimethylsilylstyrene and chlorostyrene, shows excellent resistance to oxygen RIE. Similar trimethylsilylstyrene-chloromethylstyrene copolymers have been described by Suzuki et al. Negative resists, however, may swell during development and thus limit the degree of resolution. Poly(methyl methacrylate) (PMMA)3 and various substituted methacrylates 8,9 are well known, high resolution, positive deep UV resists. However they are not sufficiently resistant to an oxygen plasma to be usable in a two-level process employing RIE pattern transfer. Ideally, the etching rate ratios for the planarizing material vs. resist should be >10:1 and preferably >20:1 in order to minimize the effects of line width erosion and allow optimization of the thickness of the lower layer. This ratio may also be maximized through appropriate selection of the planarizing layer material. Our initial efforts have concentrated on effecting an improvement in the oxygen RIE resistance of PMMA, without destroying its high resolution characteristics. Improvements by factors of over 30 have been accomplished through the incorporation of siloxane substituted esters in the poly-mers; these effects are described as a function of silicon content. A preliminary litho-graphic evaluation of the materials is presented and while the etching rate ratio of HPR-204 vs. the P(DS-A-M) polymer employed is only ≈7 it is demonstrated that these new deep UV resists show promise as oxygen RIE masks for a two-level photoresist system employing dry etching pattern transfer techniques.
Optical Performance And Process Characterizations Of Several High Contrast Metal-Ion-Free Developer Processes
John S. Petersen, Alan E. Kozlowski
Projection print quality is dependent upon the response of the resist/developer system to the optically projected image. For this reason, it is critical, during process optimization, to relate final pattern quality to both the chemical and physical contrast terms. One method for doing this is to monitor resist/developer response in the absence and then the presence of diffracted light. These results are then compared to the predicted optical requirements. For best performance, the resist/developer (or chemical) contrast must ex-ceed that of the potential optical (or physical) contrast. To do rapid contrast compari-sons it is convenient to relate the chemical contrast term,r, to the physical contrast term MTF, via the CMTF1: where the CMTF = (1014- 1)/(lol* 1) = (Ethreshold Einitial)/ (Ethreshold Einitial) and the MTF=(Emax Emin)/(Emax + EmiA. Perfect imaging potential occurs chemically when the CMTF = 0, Einitial r-- Ethreshold, and physically when the MTF = 1.0, Emin = 0. As a result, acceptable patterning occurs when the MTF/CMTF ratio is greater than one. This ratio is a comparative, accept/reject test only; final nrocess optimization is done by monitoring the accepted resist/developer systems' performance on projected images. Optimum process latitude is predicted by determining focal tolerances at various mask sizing biases and by measuring linewidth necking over steps. This study demonstrates the dependence of the final print quality on the chemical con-trast. A method is examined for approximating minimum allowable chemical contrast required for adequately printing desired device design sizes. Then chemical contrast results for various metal-ion-free develop processes are shown. Next, the combined resist/developer/ optical performance is optimized by using focal tolerance and linewidth control over steps as process constraints. Finally, it is shown that focal tolerances are dependent on chemical contrast and that the focus budget is tunable.
Effect of Developer Composition on Photoresist Performance
William D. Hinsberg, Monica L. Gutierrez
A series of experiments have been carried out to define how developer composition affects the performance of a positive photoresist. The relations between resist dissolution rates and the concentrations of sodium ion, hydroxide ion and buffer ions have been examined. An equation that relates the dissolution rate of unexposed photoresist to the concentration of sodium ion and hydroxide ion has been derived. The major compositions of several commercial developers are described. The lithographic performance of resist processed in these developers has been compared by use of characteristic curves. There is little difference in resist performance observed in the different developers when compared under equivalent conditions.
Resolution Enhancement Of Positive Photoresist Through Optimization Of Thermal Processing
Vic Marriott, Yi -Ching Lin, Gene Fuller
Various approaches to resist baking are examined with the objective of increasing the resolution capability of conventional positive resists using standard production exposure tools. Resist prebake, post exposure bake, and deferred bake (soft bake deferred until after exposure) are examined for Shipley Microposit 1400 series photo-resists developed with Microposit MF-312 and MF-314 developers. Temperatures in the range of 60 to 120°C with hot plate and forced air convection baking methods are examined for their effects on enhanced resolution. Conclusions are based on experimental data from studies of development rates, gamma contrast parameter values, exposure latitudes, and sizing control.
Thermolysis Of Positive Photoresists
Donald W. Johnson
Positive photoresists are currently being pushed to their limits to develop new processes for fine line lithography. Much of this processing is done in high temperature environments or with processes which generate a great deal of localized heat. Other processes use highly reactive free radical or ionic species. Further, current requirements demand that this processing be accomplished with minimal degredation of the resist image. All these requirements put increasing demands on the thermal stability of photoresist products. In view of these demands, a more complete understanding of the thermal chemistry of resist products would be of beneficial interest to those utilizing or designing these processes. This is of major importance in the development of new equipment, materials, and processes; especially where considerable heat is generated in the presence of resist materials. This paper attempts to provide a basic background to develop this understanding. It provides a description of the effects of thermal processing on positive photoresists. The paper discusses the thermal chemistry, particularly at temperatures above 100 degrees C, of the major positive photoresists used in microelectronic applications. The major emphasis is placed on common positive photoresist products containing diazo-oxide PAC and novolak resin. The paper gives a brief description of composition of the resists, and then an analysis of the thermolysis products at various temperatures. Analysis of the thermal reactions of the PAC, resin, and resist are given. The chemistry of the bulk films as well as the localized surface effects are examined. Finally, the effects of thermolysis are related to their impact on subsequent processing steps.
Three Dimensional Microfabrication On Thick Film Photoresist Mandrels
William Salmre
Small, three-dimensional structures are fabricated by the use of thick film photoresist mandrels as substrates for electoforming or other deposition techniques. Novel methods have been developed for the sculpting of the resist to desired shapes. These techniques rely heavily on the use of glass or other substrates coated with layers of metal. The metal serves both as a photomask and as a conductor of electricity. Commercially available chrome-on-glass photomasks are convenient for this purpose although other substrates have also been used. By controlling the thickness and light transmission of the metal layer, the amount of exposure of the resist can also be controlled to produce the desired shapes in the resist. For even more complex mandrels the resist can be exposed from both sides using self-aligned photomasks.
A New Negative/High Resolution Photoresist WX-305
Medhat A. Toukhy, Stephen F. Marcotte Jr.
This resist was designed to provide images of high resolution quality and adequate sensitivity to mid/near ultraviolet radiation 310-400nm. This resist is a non-swelling aqueous developable resist with superior resolution to conventional cyclized rubber resist systems. Resolution in the 1 micron region can be obtained in contact and projection exposures with WX-305.
Application Of Contrast-Enhanced Lithography To 1:1 Projection Printing
B. F. Griffing, P. R. West, E. W. Balch
Contrast-enhanced lithography (CEL) is a high-resolution, photolithographic technique which utilizes photobleachable materials in conjunction with conventional resist. The basic CEL concept, material requirements and applications to 10:1 lithography have been described previously. 1,2,3 In this paper we describe the application of this process to 1:1 projection lithography. A comparison of the defect density and linewidth control achieved with both conventional positive resist and CEL is made. These data are collected using electrical measurements on test structures fabricated with a Perkin-Elmer 321. The use of bleachable materials with conventional photoresists results in an increase in required exposure time for any given set of exposure conditions. An experimental analysis of the exposure time penalty vs. the line profile benefit will be presented. Another interesting application for CEL is maskmaking. With continued interest in 1:1 lithography, improved resolution in maskmaking is needed. While this application has not been investigated extensively, preliminary experiments suggest that significant improvements in resolution can be achieved when CEL is employed instead of the standard resist process. Experiments carried out using an Electromask 10:1 maskmaker will be presented.
Two-Dimensional Modeling Of Contrast-Enhanced Lithography
B. F. Griffing, W. E. Lorensen
The aerial image produced by projection mask aligners can be readily visualized using high resolution computer graphics. This paper describes a computer model that calculates the aerial image using a mask pattern and the optical system characteristics as input. The program converts the digital result into a grey scale image. This image is an accu-rate representation of the image the photoresist actually "sees." The model is applied to contrast-enhanced lithography (CEL).1120 By combining the aerial image model with the known bleaching behavior of CEL materials it is possible to calculate the image intensity transmitted by the bleachable layer as a function of time. This result is presented in the form a computer-generated movie, which makes apparent the high contrast of the transmitted image. A second application of the aerial image model is to two-dimensional resist pattern modeling. Although not as sophisticated as SAMPLE4 this model is capable of modeling com-plete structures, such as a dynamic RAM cell. The output of the model is a three-dimensional surface which is displayed using a computer-generated, shaded surface. Linewidth variation with exposure is easily explored with this model. It is a best case model in that it assumes ideal optics and resist development conditions. Resist thickness is calculated using an experimentally determined thickness transfer function. These assumptions are necessary in order to minimize the time necessary for performing the calculations. The model calculates a pattern on a 512 X 512 point array from an image in 1-2 min. on a VAX-780. Since ideal conditions are assumed, the utility of the model is primarily in its ability to predict when a structure is beyond the limits of a given optical system. Applications of the model to CEL will be presented.
Contrast Enhanced UV Lithography With Polysilanes
Donald C. Hofer, Robert D. Miller, C.Grant Willson, et al.
Contrast enhanced lithography has been been applied to mid-uv projection lithography with a new class of CEL materials, polysilanes. The nonlinear bleaching photochemistry of polysilanes provides a unique "bleaching latency" for contrast enhanced lithography. SAMPLE resist exposure and development simulation is compared with experimental CEL resist images using AZ2400® photoresist. The contrast enhancement gained with the use of polysilanes is examined as a function of exposure dose, image size, and contrast enhancement film thickness.
Negative Photoresists For Deep-UV Lithography
Jer-Ming Yang, Kaolin Chiong, Hoh-Jiear Yan, et al.
Several negative resists which are capable of submicron resolution and high sensitivity using projection printers for one-micron design groundrules have been formulated. In these resists, aryl azides act as photoactive components and aqueous-base developable polymers as resins. Photolysis of resist films generates reactive aryl nitrenes, and crosslinking of resins takes place. The dissolution rate of exposed resist in base developers is decreased with Ro/R near 11, and generally more than 12% of azide loading is found necessary to minimize film loss. By simple spectral filtration and by choosing proper azides, these resists print various profiles useful for many applications. Their lithographic performance as well as some improvements of these resists are summarized.
High Resolution Polymer Pattern Fabrications With Low Energy Proton Beams
H. Hiraoka
Low energy protons in several keV energy range have very limited penetration depths into polymer films. This necessitates uses of very thin oxygen etch barrier layers and of dry image developments in oxygen reactive ion etching. For negative tone images the etch barrier layers were deposited patternwise in proton beam induced polymerizations of organo-metallic compounds, followed by dry image developments in oxygen reactive ion etching. For positive tone images the etch barrier layers were deposited prior to patternwise exposures to proton beams on top of polymer films by plasma polymerizations of organo-metallic compounds, or by evaporation or sputtering of certain metals. Hydrogen atoms and/or protons react with metal atoms in the etch barrier layers to yield volatile metal hydrides, making the exposed areas more vulnerable to oxygen reactive ion etching, and providing positive tone images after image developments. In these processes almost any kind of carbonaceous polymer films can be used as imaged materials. With a bright ion source available the exposure times could be less than a second, yielding high aspect ratio and high resolution polymer patterns.
Novel, Negative-Working Electron-Beam Resist
Z. C.H Tan, R. C. Daly, S. S. Georgia
Previous work showed a poly(allyl methacrylate)-co-(2-hydroxyethyl methacrylate) copolymer to be a high-sensitivity, high-resolution, high-temperature-resistant negative-working electron-beam and x-ray resist. Although such a resist has been particularly use-ful, sensitivity to environmental conditions has been observed on occasion. For example, intermittent image-edge scaling and/or gross scumming have been encountered. Two approaches were considered to minimize the occurrence of these problems: proper handling and processing of the resist and a major change in the chemical structure of the copolymer. As part of the second approach, a novel copolymer was synthesized and evaluated as a negative electron-beam resist. This material is relatively free from the image-edge scaling and the gross scumming noted above. It provides high sensitivity, submicrometer resolution, and adequate plasma-etch resistance, and the development and exposure lati-tudes of the resist are very good. After postbaking at 170°C, the resist shows good resistance to both chemical and dry etching processes.
Electron Beam Lithographic Evaluation And Chain Scissioning Yields Of Itaconate Resists
Y. M.N. Namaste, S. K. Obendorf, C. C. Anderson, et al.
Previous work has demonstrated that copolymers of itaconic acid with methyl methacrylate, P(ItA-MMA), are promising positive working electron beam resists.1'2 However, synthesis of itaconic acid copolymers with molecular weights greater than 250,000 or itaconic acid contents higher than 50% is difficult, and these copolymers are quite sensitive to process conditions such as prebake temperature and aging times. To overcome these limitations and to develop resist materials with improved sensitivity, alkyl ester derivatives of itaconic acid have been synthesized, both as homopolymers and as copolymers with methyl methacrylate. In the present work, the electron-beam chain scissioning yields, G(s), of these derivatives have been determined, and the most promising of these copolymers and homopolymers have been evaluated as electron beam resists in terms of sensitivity, contrast, resolution, and thermal stability. The G(s) values of the alkyl itaconates depend greatly on the structure of the alkyl group. It was found that mono-alkyl itaconates yield polymers with G(s) values several times greater than the corresponding di-alkyl itaconates. The mono-methyl itaconate (MeI) copolymers with methyl methacrylate are very promising resist materials with high sensitivities. Methyl itaconate was polymerized with methyl methacrylate with compositions ranging from 20 to 85% MeI content and molecular weights well above one million. These copolymers are more sensitive than the itaconic acid copolymers and less dependent on prebake conditions and aging times. Sensitivities are presented for a series of MMA-MeI copolymers as well as for the we.er-soluble MeI homopolymer. Patterns exposed with P(MMA-73 mole % MeI) at 4 pC/cm4 (20 KV) were developed with less than 10% thinning of the unexposed resist and with a contrast (v) of 2. Vertical walls were observed for 1 pm wide lines using P(MMA - 73% MeI) at a dose of about 6 μC/cm2.
The Use Of Photoresists As Negative Electron Resists
T. D. Berker
Positive diazo-type photoresists are a very attractive alternative to conventional electron resists such as PMMA. They can be used as both positive and negative electron resists with a resolution well into the submicron range. We first give an overview of the negative resist process and describe the effect of electron dose, optical dose and development time on the resist profiles. We then present a method of evaluation used to optimize the profiles. Several resist systems with a variety of developers were investigated with the goal of producing resist profiles with sidewalls approaching 900. One of our primary concerns was to maintain a forgiving process that was relatively intolerant to changes in processing parameters such as development time. The numerous processing parameters that come into play in the negative resist process are described and the specifics given for a few of the "best" resist/developer systems that we identified. We found that negative-mode diazo-type electron resists are particularly prone to intraproximity effects, but that these effects are dependent upon the particular resist system and developer concentration and could be minimized. The method of evaluation described here should enable the reader to systematically determine a viable negative electron resist system for his particular application.
A New High Temperature Positive Photoresist HX-256
Medhat A Toukhy, Joe Jech Jr.
HX-256 resist is formulated to exhibit superior image stability at elevated temperatures. This allows the resist to be used in high temperature environment processes such as ion implantation and plasma etching. A standard post-bake at 130°C. is required to minimize image dimensional change at higher temperatures. 1-2 micron images with steep profiles are produced in conventional UV exposures in the region of 350-436nm. This paper discusses the spectral properties and sensitivity of HX-256 as well as its lithographic and thermal behavior. Some data on the plasma etch rates of this resist is also provided.
High Contrast Photoresist For Use With Wafer Steppers
John J. Grunwald, Edwin J. Turner, David A. Sawoska, et al.
The paper discusses the functional performance of the ULTRAMAC PR914 positive photoresist system on a 10:1 wafer stepper, using monochromatic light at 436 nanometers. Submicron resolution capabilities in the order of 0.625 micron are illustrated with resist thicknesses up to 1.6 microns using both metal-ion-free and metal-based developer systems. Submicron resolutions were achieved at exposure energies of 70 mJ/cm4 to 270 mJ/cm2, with film thickness losses of less than 4%. Edge wall profiles of greater than 85° are shown when ULTRAMAC MF62 metal-ion-free developer is used in an immersion development mode. The effect of various developers with respect to percent film thickness loss, resolution, contrast, edge wall profiles and photospeed are discussed and illustrated by SEM photographs. A comparison of the MTF values (modulation transfer function) of the lens system itself, as compared to the resolution capabilities of the resist system, is discussed for submicron geometries. The PR914 resist also has excellent step coverage capability and this is illustrated by SEM photographs. The anisotropic plasma etching characteristics are also shown.
Trilayer Resist Processing Using Spin-On Glass Intermediate Layers
Satish K. Gupta, Carver G. Audain
Of the many multilayer resist processes reported in recent years, the trilayer (RIE) resist process has emerged as the most versatile approach capable of defining high aspect ratio features in the micron to submicron regime using either optical, X-ray, or e-beam imaging. However, the relative complexity of the technique has impeded its widespread use in production. Implementation of trilayer resist pro-cessing would be greatly facilitated if spin-on glass (SOG) films were employed as the intermediate etch-mask (barrier) layer in place of the traditional sputtered or plasma deposited inorganic films. Allied Chemical's ACCUGLASS" 103 and ACCUGLASS" Ti-900 are SOG materials designed for use in trilayer resist processing. Cured films of 103 SOG are Si02-like while those from Ti-900 SOG are Ti02-like. In this paper, the properties of these SOG films and their use in trilayer processing are described. Results are presented for several trilayer resist processes carried out employing the SOG barrier layers and two new planarizing sublayer materials.
Image Reversal Techniques With Standard Positive Photoresist
Mary L. Long, Jeff Newman
The basic reaction of positive photoresist involves the conversion of the dissolution inhibitor (diazoketone) to a dissolution enhancer (carboxylic acid). The novolac-type resin is basically unchanged, but its solubility is controlled by the presence of either the dissolution inhibitor or enhancer. It has been demonstrated that the dissolution enhancer can be thermally degraded, and, under the proper conditions, this degradation can lead to the reversal of the resist image. It is, of course, imperative to optimize the developer selectivity and to capitalize on the specific characteristics of common positive resists to define a production-oriented image reversal process.**